default search action
Francky Catthoor
Person information
- affiliation: Catholic University of Leuven, Belgium
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j282]Nicolas Bueno, Fernando Castro, Luis Piñuel, José Ignacio Gómez, Francky Catthoor:
Improving the Representativeness of Simulation Intervals for the Cache Memory System. IEEE Access 12: 5973-5985 (2024) - [j281]Ioannis K. Bazionis, Markos A. Kousounadis-Knousen, Vasileios E. Katsigiannis, Francky Catthoor, Pavlos S. Georgilakis:
An Advanced Hybrid Boot-LSTM-ICSO-PP Approach for Day-Ahead Probabilistic PV Power Yield Forecasting and Intra-Hour Power Fluctuation Estimation. IEEE Access 12: 43704-43720 (2024) - [j280]Surendra Hemaram, Mehdi B. Tahoori, Francky Catthoor, Siddharth Rao, Sebastien Couet, Valerio Pica, Gouri Sankar Kar:
Soft and Hard Error-Correction Techniques in STT-MRAM. IEEE Des. Test 41(5): 65-82 (2024) - [j279]Daichi Watari, Charalampos Marantos, Ittetsu Taniguchi, Francky Catthoor, Kostas Siozios, Dimitrios Soudris, Takao Onoye:
Online Energy Management Framework for Smart Buildings With Low-Complexity Estimators. IEEE Embed. Syst. Lett. 16(2): 138-141 (2024) - [j278]Dimitrios Giagkos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
AI-Driven QoS-Aware Scheduling for Serverless Video Analytics at the Edge. Inf. 15(8): 480 (2024) - [j277]Antonios Lykourinas, Xavier Rottenberg, Francky Catthoor, Athanassios Skodras:
Unsupervised Domain Adaptation for Inter-Session Re-Calibration of Ultrasound-Based HMIs. Sensors 24(15): 5043 (2024) - [j276]Ali Safa, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
STDP-Driven Development of Attention-Based People Detection in Spiking Neural Networks. IEEE Trans. Cogn. Dev. Syst. 16(1): 380-387 (2024) - [j275]Ana Lebanov, Mauricio Velazquez Lopez, Florian De Roose, Nikolas P. Papadopoulos, Giacomo Indiveri, Arianna Rubino, Melika Payvand, Steve Smout, Myriam Willegems, Francky Catthoor, Jan Genoe, Paul Heremans, Kris Myny:
Flexible Unipolar IGZO Transistor-Based Integrate and Fire Neurons for Spiking Neuromorphic Applications. IEEE Trans. Biomed. Circuits Syst. 18(1): 200-214 (2024) - [j274]Nesara Eranna Bethur, Anthony Agnesina, Moritz Brunion, Alberto García Ortiz, Francky Catthoor, Dragomir Milojevic, Manu Komalan, Matheus A. Cavalcante, Samuel Riedel, Luca Benini, Sung Kyu Lim:
Hier-3D: A Methodology for Physical Hierarchy Exploration of 3-D ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(7): 1957-1970 (2024) - [j273]Rafael Medina, Giovanni Ansaloni, Marina Zapater, Alexandre Levisse, Saeideh Alinezhad Chamazcoti, Timon Evenblij, Dwaipayan Biswas, Francky Catthoor, David Atienza:
Bank on Compute-Near-Memory: Design Space Exploration of Processing-Near-Bank Architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(11): 4117-4129 (2024) - [j272]Zhenlin Pei, Hsiao-Hsuan Liu, Mahta Mayahinia, Mehdi B. Tahoori, Francky Catthoor, Zsolt Tokei, Dawit Burusie Abdi, James Myers, Chenyun Pan:
Ultra-Scaled E-Tree-Based SRAM Design and Optimization With Interconnect Focus. IEEE Trans. Circuits Syst. I Regul. Pap. 71(10): 4597-4610 (2024) - [j271]Pengbo Yu, Flavio Ponzina, Alexandre Levisse, Mohit Gupta, Dwaipayan Biswas, Giovanni Ansaloni, David Atienza, Francky Catthoor:
An Energy Efficient Soft SIMD Microarchitecture and Its Application on Quantized CNNs. IEEE Trans. Very Large Scale Integr. Syst. 32(6): 1018-1031 (2024) - [c472]Paul Delestrac, Jonathan Miquel, Debjyoti Bhattacharjee, Diksha Moolchandani, Francky Catthoor, Lionel Torres, David Novo:
Analyzing GPU Energy Consumption in Data Movement and Storage. ASAP 2024: 143-151 - [c471]Surendra Hemaram, Mehdi B. Tahoori, Francky Catthoor, Siddharth Rao, Sebastien Couet, Gouri Sankar Kar:
Hard Error Correction in STT-MRAM. ASPDAC 2024: 752-757 - [c470]Nesara Eranna Bethur, Pruek Vanna-Iampikul, Odysseas Zografos, Lingjun Zhu, Giuliano Sisto, Dragomir Milojevic, Alberto García Ortiz, Geert Hellings, Julien Ryckaert, Francky Catthoor, Sung Kyu Lim:
GNN-assisted Back-side Clock Routing Methodology for Advance Technologies. DAC 2024: 287:1-287:6 - [c469]Paul Delestrac, Debjyoti Bhattacharjee, Simei Yang, Diksha Moolchandani, Francky Catthoor, Lionel Torres, David Novo:
Multi-Level Analysis of GPU Utilization in ML Training Workloads. DATE 2024: 1-6 - [c468]Subrat Mishra, Bjorn Vermeersch, Sankatali Venkateswarlu, Halil Kukner, A. Sharma, G. Mirabeli, Fabian M. Bufler, Moritz Brunion, Dawit Burusie Abdi, Herman Oprins, Dwaipayan Biswas, Odysseas Zografos, Francky Catthoor, Pieter Weckx, Geert Hellings, James Myers, Julien Ryckaert:
Thermal Performance Evaluation of Multi-Core SOCs Using Power-Thermal Co-Simulation. IRPS 2024: 1-6 - [c467]Nitish Kumar, Sankatali Venkateswarlu, Yukai Chen, Moritz Brunion, Subrat Mishra, Ankur Gupta, Pushpapraj Singh, Francky Catthoor, James Myers, Julien Ryckaert, Dwaipayan Biswas:
Thermal Analysis of High-Performance Server SoCs from FinFET to Nanosheet Technologies. IRPS 2024: 8 - [c466]Pengbo Yu, Flavio Ponzina, Alexandre Levisse, Dwaipayan Biswas, Giovanni Ansaloni, David Atienza, Francky Catthoor:
DBFS: Dynamic Bitwidth-Frequency Scaling for Efficient Software-defined SIMD. ISVLSI 2024: 204-209 - [c465]John F. Morales, Dolores Blanco-Almazán, Francky Catthoor, Willemijn Groenendaal, Raimon Jané:
Validation of a Novel Wearable Device to Estimate Heart Rate Variability and Cardiorespiratory Indexes. MeMeA 2024: 1-6 - [c464]S. Mishra, Bjorn Vermeersch, Sankatali Venkateswarlu, Halil Kukner, Gioele Mirabelli, Fabian M. Bufler, Moritz Brunion, Dawit Burusie Abdi, Herman Oprins, Dwaipayan Biswas, Odysseas Zografos, Francky Catthoor, Pieter Weckx, Geert Hellings, James Myers, Julien Ryckaert:
Thermal Considerations for Block-Level PPA Assessment in Angstrom Era: A Comparison Study of Nanosheet FETs (A10) & Complementary FETs (A5). VLSI Technology and Circuits 2024: 1-2 - [i33]Nicolas Bueno, Fernando Castro, Luis Piñuel, José Ignacio Gómez Pérez, Francky Catthoor:
Improving the Representativeness of Simulation Intervals for the Cache Memory System. CoRR abs/2402.00649 (2024) - [i32]Yukai Chen, Simei Yang, Debjyoti Bhattacharjee, Francky Catthoor, Arindam Mallik:
SAfEPaTh: A System-Level Approach for Efficient Power and Thermal Estimation of Convolutional Neural Network Accelerator. CoRR abs/2407.17623 (2024) - 2023
- [j270]Markos A. Kousounadis-Knousen, Ioannis K. Bazionis, Dimitrios Soudris, Francky Catthoor, Pavlos S. Georgilakis:
A New Co-Optimized Hybrid Model Based on Multi-Objective Optimization for Probabilistic Wind Power Forecasting in a Spatio-Temporal Framework. IEEE Access 11: 84885-84899 (2023) - [j269]Daichi Watari, Ittetsu Taniguchi, Francky Catthoor, Charalampos Marantos, Kostas Siozios, Elham Shirazi, Dimitrios Soudris, Takao Onoye:
Thermal-Comfort Aware Online Co-Scheduling Framework for HVAC, Battery Systems, and Appliances in Smart Buildings. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 106(5): 698-706 (2023) - [j268]Manolis Katsaragakis, Lazaros Papadopoulos, Mario Konijnenburg, Francky Catthoor, Dimitrios Soudris:
A memory footprint optimization framework for Python applications targeting edge devices. J. Syst. Archit. 142: 102936 (2023) - [j267]Tommaso Marinelli, José Ignacio Gómez Pérez, Christian Tenllado, Francky Catthoor:
COMPAD: A heterogeneous cache-scratchpad CPU architecture with data layout compaction for embedded loop-dominated applications. J. Syst. Archit. 145: 103022 (2023) - [j266]Yingping Chen, Bernardo Tacca, Yunzhu Chen, Dwaipayan Biswas, Georges G. E. Gielen, Francky Catthoor, Marian Verhelst, Carolina Mora Lopez:
An Online-Spike-Sorting IC Using Unsupervised Geometry-Aware OSort Clustering for Efficient Embedded Neural-Signal Processing. IEEE J. Solid State Circuits 58(11): 2990-3002 (2023) - [j265]Benoît Walter Denkinger, Miguel Peón Quirós, Mario Konijnenburg, David Atienza, Francky Catthoor:
Acceleration of Control Intensive Applications on Coarse-Grained Reconfigurable Arrays for Embedded Systems. IEEE Trans. Computers 72(9): 2548-2560 (2023) - [j264]Saeideh Alinezhad Chamazcoti, Mohit Gupta, Hyungrock Oh, Timon Evenblij, Francky Catthoor, Manu Perumkunnil Komalan, Gouri Sankar Kar, Arnaud Furnémont:
Exploring Pareto-Optimal Hybrid Main Memory Configurations Using Different Emerging Memories. IEEE Trans. Circuits Syst. I Regul. Pap. 70(2): 733-746 (2023) - [j263]Dawit Burusie Abdi, Shairfe Muhammad Salahuddin, Jürgen Bömmels, Edouard Giacomin, Pieter Weckx, Julien Ryckaert, Geert Hellings, Francky Catthoor:
3D SRAM Macro Design in 3D Nanofabric Process Technology. IEEE Trans. Circuits Syst. I Regul. Pap. 70(7): 2858-2867 (2023) - [j262]Adarsha Balaji, Phu Khanh Huynh, Francky Catthoor, Nikil D. Dutt, Jeffrey L. Krichmar, Anup Das:
NeuSB: A Scalable Interconnect Architecture for Spiking Neuromorphic Hardware. IEEE Trans. Emerg. Top. Comput. 11(2): 373-387 (2023) - [j261]Ali Safa, Federico Corradi, Lars Keuninckx, Ilja Ocket, André Bourdoux, Francky Catthoor, Georges G. E. Gielen:
Improving the Accuracy of Spiking Neural Networks for Radar Gesture Recognition Through Preprocessing. IEEE Trans. Neural Networks Learn. Syst. 34(6): 2869-2881 (2023) - [j260]Sankatali Venkateswarlu, Subrat Mishra, Herman Oprins, Bjorn Vermeersch, Moritz Brunion, Jun-Han Han, Mircea R. Stan, Dwaipayan Biswas, Pieter Weckx, Francky Catthoor:
Impact of 3-D Integration on Thermal Performance of RISC-V MemPool Multicore SOC. IEEE Trans. Very Large Scale Integr. Syst. 31(12): 1896-1904 (2023) - [c463]Dolores Blanco-Almazán, John F. Morales, Willemijn Groenendaal, Francky Catthoor, Raimon Jané:
Wearable ECG-Derived Respiration Performance for Respiratory Monitoring with a Non-Standard ECG Lead. CinC 2023: 1-4 - [c462]Mahta Mayahinia, Hsiao-Hsuan Liu, Subrat Mishra, Zsolt Tokei, Francky Catthoor, Mehdi B. Tahoori:
Electromigration-aware design technology co-optimization for SRAM in advanced technology nodes. DATE 2023: 1-6 - [c461]Behnaz Ranjbar, Florian Klemme, Paul R. Genssler, Hussam Amrouch, Jinhyo Jung, Shail Dave, Hwisoo So, Kyongwoo Lee, Aviral Shrivastava, Ji-Yung Lin, Pieter Weckx, Subrat Mishra, Francky Catthoor, Dwaipayan Biswas, Akash Kumar:
Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level. DATE 2023: 1-10 - [c460]Zhenlin Pei, Mahta Mayahinia, Hsiao-Hsuan Liu, Mehdi B. Tahoori, Francky Catthoor, Zsolt Tokei, Chenyun Pan:
Technology/Memory Co-Design and Co-Optimization Using E-Tree Interconnect. ACM Great Lakes Symposium on VLSI 2023: 159-162 - [c459]Carlos Escuin, Fernando García-Redondo, Mahdi Zahedi, Pablo Ibáñez, Teresa Monreal, Víctor Viñals, José María Llabería, James Myers, Julien Ryckaert, Dwaipayan Biswas, Francky Catthoor:
MNEMOSENE++: Scalable Multi-Tile Design with Enhanced Buffering and VGSOT-MRAM based Compute-in-Memory Crossbar Array. ICECS 2023: 1-5 - [c458]Xinzhe Liu, Jianwen Luo, David Blinder, Fupeng Chen, Heng Yu, Peter Schelkens, Francky Catthoor, Yajun Ha:
Data Partition Optimization for High Energy Efficiency by Decoupling Local Dependence in Holographic Video Decoder. ICECS 2023: 1-4 - [c457]Charalampos Papadakis, Leandro Mateus Giacomini Rocha, Francky Catthoor, Nick Van Helleputte, Dwaipayan Biswas:
AusculNET: A Deep Learning framework for Adventitious Lung Sounds Classification. ICECS 2023: 1-4 - [c456]Ali Safa, Tim Verbelen, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
Fusing Event-based Camera and Radar for SLAM Using Spiking Neural Networks with Continual STDP Learning. ICRA 2023: 2782-2788 - [c455]Manolis Katsaragakis, Dimosthenis Masouros, Lazaros Papadopoulos, Francky Catthoor, Dimitrios Soudris:
On the Implications of Heterogeneous Memory Tiering on Spark In-Memory Analytics. IPDPS Workshops 2023: 945-952 - [c454]Subrat Mishra, Sankatali Venkateswarlu, Bjorn Vermeersch, Moritz Brunion, Melina Lofrano, Dawit Burusie Abdi, Herman Oprins, Dwaipayan Biswas, Odysseas Zografos, Gaspard Hiblot, Geert Van der Plas, Pieter Weckx, Geert Hellings, James Myers, Francky Catthoor, Julien Ryckaert:
Towards Chip-Package-System Co-optimization of Thermally-limited System-On-Chips (SOCs). IRPS 2023: 1-7 - [c453]Swatilekha Majumdar, Stefan Cosemans, Arindam Mallik, Peter Debacker, Francky Catthoor, Jan Van Houdt:
Evaluating the Effects of FeFET Device Variability on Charge Sharing Based AiMC Accelerator. ISCAS 2023: 1-5 - [c452]Zhenlin Pei, Mahta Mayahinia, Hsiao-Hsuan Liu, Mehdi B. Tahoori, Shairfe Muhammad Salahuddin, Francky Catthoor, Zsolt Tokei, Chenyun Pan:
Emerging Interconnect Exploration for SRAM Application Using Nonconventional H-Tree and Center-Pin Access. ISQED 2023: 1 - [c451]Yingping Chen, Bernardo Tacca, Yunzhu Chen, Dwaipayan Biswas, Georges G. E. Gielen, Francky Catthoor, Marian Verhelst, Carolina Mora Lopez:
A 384-Channel Online-Spike-Sorting IC Using Unsupervised Geo-OSort Clustering and Achieving 0.0013mm2/Ch and $1.78\mu \text{W/ch}$. ISSCC 2023: 486-487 - [c450]Ali Safa, Tim Verbelen, Lars Keuninckx, Ilja Ocket, André Bourdoux, Francky Catthoor, Georges G. E. Gielen, Gert Cauwenberghs:
Active Inference in Hebbian Learning Networks. IWAI 2023: 239-253 - [c449]Christos Panagiotis Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
The Unexpected Efficiency of Bin Packing Algorithms for Dynamic Storage Allocation in the Wild: An Intellectual Abstract. ISMM 2023: 58-70 - [c448]Ali Safa, Ilja Ocket, Francky Catthoor, Georges G. E. Gielen:
SupportHDC: Hyperdimensional Computing with Scalable Hypervector Sparsity. NICE 2023: 20-25 - [c447]Ali Safa, Jonah Van Assche, Charlotte Frenkel, André Bourdoux, Francky Catthoor, Georges G. E. Gielen:
Exploring Information-Theoretic Criteria to Accelerate the Tuning of Neuromorphic Level-Crossing ADCs. NICE 2023: 63-70 - [c446]Christos Panagiotis Lamprakos, Sotirios Xydis, Peter Kourzanov, Manu Perumkunnil, Francky Catthoor, Dimitrios Soudris:
Beyond RSS: Towards Intelligent Dynamic Memory Management (Work in Progress). MPLR 2023: 158-164 - [c445]Christos P. Lamprakos, Dimitrios S. Bouras, Francky Catthoor, Dimitrios Soudris:
Reliable Basic Block Energy Accounting. SAMOS 2023: 193-208 - [c444]Shotaro Nonaka, Ittetsu Taniguchi, Hiroki Nishikawa, Dafang Zhao, Francky Catthoor, Takao Onoye:
Comfort-aware HVAC Aggregation Method based on Deep Reinforcement Learning. BuildSys@SenSys 2023: 290-291 - [i31]Herbert Jaeger, Francky Catthoor:
Timescales: the choreography of classical and unconventional computing. CoRR abs/2301.00893 (2023) - [i30]Manolis Katsaragakis, Christos Baloukas, Lazaros Papadopoulos, Verena Kantere, Francky Catthoor, Dimitrios Soudris:
Energy Consumption Evaluation of Optane DC Persistent Memory for Indexing Data Structures. CoRR abs/2304.00953 (2023) - [i29]Christos P. Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
Viewing Allocators as Bin Packing Solvers Demystifies Fragmentation. CoRR abs/2304.10862 (2023) - [i28]Christos P. Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
The Unexpected Efficiency of Bin Packing Algorithms for Dynamic Storage Allocation in the Wild: An Intellectual Abstract. CoRR abs/2305.01497 (2023) - [i27]Ali Safa, Tim Verbelen, Lars Keuninckx, Ilja Ocket, André Bourdoux, Francky Catthoor, Georges G. E. Gielen, Gert Cauwenberghs:
Active Inference in Hebbian Learning Networks. CoRR abs/2306.05053 (2023) - 2022
- [j259]Daniel Romero, Dolores Blanco-Almazán, Willemijn Groenendaal, Lien Lijnen, Christophe Smeets, David Ruttens, Francky Catthoor, Raimon Jané:
Predicting 6-minute walking test outcomes in patients with chronic obstructive pulmonary disease without physical performance measures. Comput. Methods Programs Biomed. 225: 107020 (2022) - [j258]Hongwu Jiang, Wantong Li, Shanshi Huang, Stefan Cosemans, Francky Catthoor, Shimeng Yu:
Analog-to-Digital Converter Design Exploration for Compute-in-Memory Accelerators. IEEE Des. Test 39(2): 48-55 (2022) - [j257]Simei Yang, Debjyoti Bhattacharjee, Vinay B. Y. Kumar, Saikat Chatterjee, Sayandip De, Peter Debacker, Diederik Verkest, Arindam Mallik, Francky Catthoor:
AERO: Design Space Exploration Framework for Resource-Constrained CNN Mapping on Tile-Based Accelerators. IEEE J. Emerg. Sel. Topics Circuits Syst. 12(2): 508-521 (2022) - [j256]Benjamin Gys, Rohith Acharya, Steven Van Winckel, Kristiaan De Greve, Georges G. E. Gielen, Francky Catthoor:
A Co-Simulation Methodology for the Design of Integrated Silicon Spin Qubits With Their Control/Readout Cryo-CMOS Electronics. IEEE J. Emerg. Sel. Topics Circuits Syst. 12(3): 685-693 (2022) - [j255]Anteneh Gebregiorgis, Hoang Anh Du Nguyen, Jintao Yu, Rajendra Bishnoi, Mottaqiallah Taouil, Francky Catthoor, Said Hamdioui:
A Survey on Memory-centric Computer Architectures. ACM J. Emerg. Technol. Comput. Syst. 18(4): 79:1-79:50 (2022) - [j254]Ali Safa, Jonah Van Assche, Mark Daniel Alea, Francky Catthoor, Georges G. E. Gielen:
Neuromorphic Near-Sensor Computing: From Event-Based Sensing to Edge Learning. IEEE Micro 42(6): 88-95 (2022) - [j253]Ali Safa, Tim Verbelen, Ilja Ocket, André Bourdoux, Francky Catthoor, Georges G. E. Gielen:
Fail-Safe Human Detection for Drones Using a Multi-Modal Curriculum Learning Approach. IEEE Robotics Autom. Lett. 7(1): 303-310 (2022) - [j252]Amirreza Yousefzadeh, Jan Stuijt, Martijn Hijdra, Hsiao-Hsuan Liu, Anteneh Gebregiorgis, Abhairaj Singh, Said Hamdioui, Francky Catthoor:
Energy-efficient In-Memory Address Calculation. ACM Trans. Archit. Code Optim. 19(4): 52:1-52:16 (2022) - [j251]Mahta Mayahinia, Mehdi B. Tahoori, Manu Perumkunnil Komalan, Houman Zahedmanesh, Kristof Croes, Tommaso Marinelli, José Ignacio Gómez Pérez, Timon Evenblij, Gouri Sankar Kar, Francky Catthoor:
Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in STT-MRAM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(12): 5327-5332 (2022) - [j250]Tommaso Marinelli, José Ignacio Gómez Pérez, Christian Tenllado, Manu Komalan, Mohit Gupta, Francky Catthoor:
Microarchitectural Exploration of STT-MRAM Last-level Cache Parameters for Energy-efficient Devices. ACM Trans. Embed. Comput. Syst. 21(1): 3:1-3:20 (2022) - [j249]Dolores Blanco-Almazán, Willemijn Groenendaal, Lien Lijnen, Rana Önder, Christophe Smeets, David Ruttens, Francky Catthoor, Raimon Jané:
Breathing Pattern Estimation Using Wearable Bioimpedance for Assessing COPD Severity. IEEE J. Biomed. Health Informatics 26(12): 5983-5991 (2022) - [j248]Nathan Laubeuf, Jonas Doevenspeck, Ioannis A. Papistas, Michele Caselli, Stefan Cosemans, Peter Vrancx, Debjyoti Bhattacharjee, Arindam Mallik, Peter Debacker, Diederik Verkest, Francky Catthoor, Rudy Lauwereins:
Dynamic Quantization Range Control for Analog-in-Memory Neural Networks Acceleration. ACM Trans. Design Autom. Electr. Syst. 27(5): 46:1-46:21 (2022) - [j247]Twisha Titirsha, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic Hardware. IEEE Trans. Parallel Distributed Syst. 33(2): 288-301 (2022) - [j246]Hesheng Lin, Dimitrios Velenis, Philip Nolmans, Xiao Sun, Francky Catthoor, Rudy Lauwereins, Geert Van der Plas, Eric Beyne:
84%-Efficiency Fully Integrated Voltage Regulator for Computing Systems Enabled by 2.5-D High-Density MIM Capacitor. IEEE Trans. Very Large Scale Integr. Syst. 30(5): 661-665 (2022) - [j245]Sankatali Venkateswarlu, Subrat Mishra, Herman Oprins, Bjorn Vermeersch, Moritz Brunion, Jun-Han Han, Mircea R. Stan, Pieter Weckx, Francky Catthoor:
Thermal Performance Analysis of Mempool RISC-V Multicore SoC. IEEE Trans. Very Large Scale Integr. Syst. 30(11): 1668-1676 (2022) - [j244]Hesheng Lin, Geert Van der Plas, Xiao Sun, Dimitrios Velenis, Francky Catthoor, Rudy Lauwereins, Eric Beyne:
Efficient Backside Power Delivery for High-Performance Computing Systems. IEEE Trans. Very Large Scale Integr. Syst. 30(11): 1748-1756 (2022) - [j243]Ji-Yung Lin, Pieter Weckx, Subrat Mishra, Alessio Spessot, Francky Catthoor:
Multitimescale Mitigation for Performance Variability Improvement in Time-Critical Systems. IEEE Trans. Very Large Scale Integr. Syst. 30(11): 1757-1769 (2022) - [c443]Abhairaj Singh, Mahdi Zahedi, Taha Shahroodi, Mohit Gupta, Anteneh Gebregiorgis, Manu Komalan, Rajiv V. Joshi, Francky Catthoor, Rajendra Bishnoi, Said Hamdioui:
CIM-based Robust Logic Accelerator using 28 nm STT-MRAM Characterization Chip Tape-out. AICAS 2022: 451-454 - [c442]Benoît W. Denkinger, Miguel Peón Quirós, Mario Konijnenburg, David Atienza, Francky Catthoor:
VWR2A: a very-wide-register reconfigurable-array architecture for low-power embedded devices. DAC 2022: 895-900 - [c441]Quentin Huppert, Francky Catthoor, Lionel Torres, David Novo:
Pref-X: a framework to reveal data prefetching in commercial in-order cores. DAC 2022: 1051-1056 - [c440]Matheus A. Cavalcante, Anthony Agnesina, Samuel Riedel, Moritz Brunion, Alberto García-Ortiz, Dragomir Milojevic, Francky Catthoor, Sung Kyu Lim, Luca Benini:
MemPool-3D: Boosting Performance and Efficiency of Shared-L1 Memory Many-Core Clusters with 3D Integration. DATE 2022: 394-399 - [c439]Ji-Yung Lin, Pieter Weckx, Subrat Mishra, Alessio Spessot, Francky Catthoor:
Proactive Run-Time Mitigation for Time-Critical Applications Using Dynamic Scenario Methodology. DATE 2022: 616-621 - [c438]M. Lakshmi Varshika, Adarsha Balaji, Federico Corradi, Anup Das, Jan Stuijt, Francky Catthoor:
Design of Many-Core Big Little µBrains for Energy-Efficient Embedded Neuromorphic Computing. DATE 2022: 1011-1016 - [c437]Dolores Blanco-Almazán, Willemijn Groenendaal, Francky Catthoor, Raimon Jané:
The Effect of Walking on the Estimation of Breathing Pattern Parameters using Wearable Bioimpedance. EMBC 2022: 3257-3260 - [c436]Manolis Katsaragakis, Christos Baloukas, Lazaros Papadopoulos, Verena Kantere, Francky Catthoor, Dimitrios Soudris:
Energy Consumption Evaluation of Optane DC Persistent Memory for Indexing Data Structures. HIPC 2022: 75-84 - [c435]Ali Safa, Ilja Ocket, Francky Catthoor, Georges G. E. Gielen:
Exploring Cross-fusion and Curriculum Learning for Multi-modal Human Detection on Drones. DroneSE/RAPIDO@HiPEAC 2022: 1-7 - [c434]Nitish Satya Murthy, Peter Vrancx, Nathan Laubeuf, Peter Debacker, Francky Catthoor, Marian Verhelst:
Learn to Learn on Chip: Hardware-aware Meta-learning for Quantized Few-shot Learning at the Edge. SEC 2022: 14-25 - [c433]Ali Safa, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
Event Camera Data Classification Using Spiking Networks with Spike-Timing-Dependent Plasticity. IJCNN 2022: 1-8 - [c432]Anthony Agnesina, Moritz Brunion, Alberto García Ortiz, Francky Catthoor, Dragomir Milojevic, Manu Komalan, Matheus A. Cavalcante, Samuel Riedel, Luca Benini, Sung Kyu Lim:
Hier-3D: A Hierarchical Physical Design Methodology for Face-to-Face-Bonded 3D ICs. ISLPED 2022: 15:1-15:6 - [c431]Mahta Mayahinia, Mehdi B. Tahoori, Manu Perumkunnil, Kristof Croes, Francky Catthoor:
Analyzing the Electromigration Challenges of Computation in Resistive Memories. ITC 2022: 534-538 - [c430]Christos P. Lamprakos, Lazaros Papadopoulos, Francky Catthoor, Dimitrios Soudris:
The Impact of Dynamic Storage Allocation on CPython Execution Time, Memory Footprint and Energy Consumption: An Empirical Study. SAMOS 2022: 219-234 - [c429]Ali Safa, Tim Verbelen, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
Learning to Encode Vision on the Fly in Unknown Environments: A Continual Learning SLAM Approach for Drones. SSRR 2022: 373-378 - [c428]Rohith Acharya, Anton Potocnik, Steven Brebels, Alexander Grill, Jeroen Verjauw, Tsvetan Ivanov, Daniel Perez Lozano, Danny Wan, Fahd A. Mohiyaddin, Jacques Van Damme, A. M. Vadiraj, Massimo Mongillo, Georges G. E. Gielen, Francky Catthoor, Jan Craninckx, Iuliana P. Radu, Bogdan Govoreanu:
Scalable 1.4 μW cryo-CMOS SP4T multiplexer operating at 10 mK for high-fidelity superconducting qubit measurements. VLSI Technology and Circuits 2022: 230-231 - [i26]Ali Safa, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
Continuously Learning to Detect People on the Fly: A Bio-inspired Visual System for Drones. CoRR abs/2202.08023 (2022) - [i25]Benoît Walter Denkinger, Miguel Peón Quirós, Mario Konijnenburg, David Atienza, Francky Catthoor:
VWR2A: A Very-Wide-Register Reconfigurable-Array Architecture for Low-Power Embedded Devices. CoRR abs/2204.05009 (2022) - [i24]Ali Safa, Tim Verbelen, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
Learning to SLAM on the Fly in Unknown Environments: A Continual Learning Approach for Drones in Visually Ambiguous Scenes. CoRR abs/2208.12997 (2022) - [i23]Ali Safa, Tim Verbelen, Ilja Ocket, André Bourdoux, Hichem Sahli, Francky Catthoor, Georges G. E. Gielen:
Fusing Event-based Camera and Radar for SLAM Using Spiking Neural Networks with Continual STDP Learning. CoRR abs/2210.04236 (2022) - [i22]Bert Herteleer, Anastasios Kladas, Gofran Chowdhury, Francky Catthoor, Jan Cappelle:
Investigating methods to improve photovoltaic thermal models at second-to-minute timescales. CoRR abs/2211.11593 (2022) - [i21]Pengbo Yu, Alexandre Levisse, Mohit Gupta, Timon Evenblij, Giovanni Ansaloni, Francky Catthoor, David Atienza:
A Soft SIMD Based Energy Efficient Computing Microarchitecture. CoRR abs/2212.09358 (2022) - 2021
- [j242]Angeliki Kritikakou, Rafail Psiakis, Francky Catthoor, Olivier Sentieys:
Binary Tree Classification of Rigid Error Detection and Correction Techniques. ACM Comput. Surv. 53(4): 76:1-76:38 (2021) - [j241]Adarsha Balaji, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial Decomposition. IEEE Embed. Syst. Lett. 13(3): 142-145 (2021) - [j240]Shihao Song, Jui Hanamshet, Adarsha Balaji, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Dynamic Reliability Management in Neuromorphic Computing. ACM J. Emerg. Technol. Comput. Syst. 17(4): 63:1-63:27 (2021) - [j239]Ali Safa, Francky Catthoor, Georges G. E. Gielen:
ConvSNN: A surrogate gradient spiking neural framework for radar gesture recognition. Softw. Impacts 10: 100131 (2021) - [j238]Ali Safa, Tim Verbelen, Lars Keuninckx, Ilja Ocket, Matthias Hartmann, André Bourdoux, Francky Catthoor, Georges G. E. Gielen:
A Low-Complexity Radar Detector Outperforming OS-CFAR for Indoor Drone Obstacle Avoidance. IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens. 14: 9162-9175 (2021) - [j237]Dolores Blanco-Almazán, Willemijn Groenendaal, Manuel Lozano-Garcia, Luis Estrada-Petrocelli, Lien Lijnen, Christophe Smeets, David Ruttens, Francky Catthoor, Raimon Jané:
Combining Bioimpedance and Myographic Signals for the Assessment of COPD During Loaded Breathing. IEEE Trans. Biomed. Eng. 68(1): 298-307 (2021) - [j236]Lingjun Zhu, Lennart Bamberg, Sai Surya Kiran Pentapati, Kyungwook Chang, Francky Catthoor, Dragomir Milojevic, Manu Komalan, Brian Cline, Saurabh Sinha, Xiaoqing Xu, Alberto García-Ortiz, Sung Kyu Lim:
High-Performance Logic-on-Memory Monolithic 3-D IC Designs for Arm Cortex-A Processors. IEEE Trans. Very Large Scale Integr. Syst. 29(6): 1152-1163 (2021) - [j235]Yahya H. Yassin, Magnus Jahre, Per Gunnar Kjeldsberg, Snorre Aunet, Francky Catthoor:
Fast and Accurate Edge Computing Energy Modeling and DVFS Implementation in GEM5 Using System Call Emulation Mode. J. Signal Process. Syst. 93(1): 33-48 (2021) - [c427]Xinzhe Liu, Fupeng Chen, Raees Kizhakkumkara Muhamad, David Blinder, Dessislava Nikolova, Peter Schelkens, Francky Catthoor, Yajun Ha:
Bitwidth-Optimized Energy-Efficient FFT Design via Scaling Information Propagation. DAC 2021: 613-618 - [c426]Daichi Watari, Ittetsu Taniguchi, Francky Catthoor, Charalampos Marantos, Kostas Siozios, Elham Shirazi, Dimitrios Soudris, Takao Onoye:
Thermal Comfort Aware Online Energy Management Framework for a Smart Residential Building. DATE 2021: 535-538 - [c425]Quentin Huppert, Timon Evenblij, Manu Perumkunnil, Francky Catthoor, Lionel Torres, David Novo:
Memory Hierarchy Calibration Based on Real Hardware In-order Cores for Accurate Simulation. DATE 2021: 707-710 - [c424]Rohith Acharya, Fahd A. Mohiyaddin, Anton Potocnik, Kristiaan De Greve, Bogdan Govoreanu, Iuliana P. Radu, Georges G. E. Gielen, Francky Catthoor:
Circuit models for the co-simulation of superconducting quantum computing systems. DATE 2021: 968-973 - [c423]Leandro Mateus Giacomini Rocha, Guilherme Paim, Dwaipayan Biswas, Sergio Bampi, Francky Catthoor, Chris Van Hoof, Nick Van Helleputte:
LSTM-only Model for Low-complexity HR Estimation from Wrist PPG. EMBC 2021: 1068-1071 - [c422]Dolores Blanco-Almazán, Willemijn Groenendaal, Francky Catthoor, Raimon Jané:
Detection of Respiratory Phases to Estimate Breathing Pattern Parameters using Wearable Bioimpendace. EMBC 2021: 5508-5511 - [c421]Benjamin Gys, Fahd A. Mohiyaddin, Rohith Acharya, Roy Li, Kristiaan De Greve, Georges G. E. Gielen, Bogdan Govoreanu, Iuliana P. Radu, Francky Catthoor:
Circuit Model for the Efficient Co-Simulation of Spin Qubits and their Control & Readout Circuitry. ESSCIRC 2021: 63-66 - [c420]Benjamin Gys, Fahd A. Mohiyaddin, Rohith Acharya, Roy Li, Kristiaan De Greve, Georges G. E. Gielen, Bogdan Govoreanu, Iuliana P. Radu, Francky Catthoor:
Circuit Model for the Efficient Co-Simulation of Spin Qubits and their Control & Readout Circuitry. ESSDERC 2021: 63-66 - [c419]Stefan Nikolic, Francky Catthoor, Zsolt Tokei, Paolo Ienne:
Global Is the New Local: FPGA Architecture at 5nm and Beyond. FPGA 2021: 34-44 - [c418]Adarsha Balaji, Shihao Song, Twisha Titirsha, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration with Spiking Neural Networks. ICONS 2021: 10:1-10:9 - [c417]Subrat Mishra, Pieter Weckx, Odysseas Zografos, Ji-Yung Lin, Alessio Spessot, Francky Catthoor:
Overhead Reduction with Optimal Margining Using A Reliability Aware Design Paradigm. IRPS 2021: 1-7 - [c416]Edouard Giacomin, Francky Catthoor, Pierre-Emmanuel Gaillardon:
Area-Efficient Multiplier Designs Using a 3D Nanofabric Process Flow. ISCAS 2021: 1-5 - [c415]Abhairaj Singh, Sumit Diware, Anteneh Gebregiorgis, Rajendra Bishnoi, Francky Catthoor, Rajiv V. Joshi, Said Hamdioui:
Low-Power Memristor-Based Computing for Edge-AI Applications. ISCAS 2021: 1-5 - [c414]Anthony Agnesina, Moritz Brunion, Jinwoo Kim, Alberto García Ortiz, Dragomir Milojevic, Francky Catthoor, Manu Perumkunnil, Sung Kyu Lim:
Power, Performance, Area and Cost Analysis of Memory-on-Logic Face-to-Face Bonded 3D Processor Designs. ISLPED 2021: 1-6 - [i20]Twisha Titirsha, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic Hardware. CoRR abs/2103.05707 (2021) - [i19]Adarsha Balaji, Shihao Song, Twisha Titirsha, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration with Spiking Neural Networks. CoRR abs/2105.01795 (2021) - [i18]Shihao Song, Jui Hanamshet, Adarsha Balaji, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Dynamic Reliability Management in Neuromorphic Computing. CoRR abs/2105.02038 (2021) - [i17]Ali Safa, Tim Verbelen, Lars Keuninckx, Ilja Ocket, Matthias Hartmann, André Bourdoux, Francky Catthoor, Georges G. E. Gielen:
A Low-Complexity Radar Detector Outperforming OS-CFAR for Indoor Drone Obstacle Avoidance. CoRR abs/2107.07250 (2021) - [i16]Ali Safa, Tim Verbelen, Ilja Ocket, André Bourdoux, Francky Catthoor, Georges G. E. Gielen:
Fail-Safe Human Detection for Drones Using a Multi-Modal Curriculum Learning Approach. CoRR abs/2109.13666 (2021) - [i15]Ali Safa, Hichem Sahli, André Bourdoux, Ilja Ocket, Francky Catthoor, Georges G. E. Gielen:
Learning Event-based Spatio-Temporal Feature Descriptors via Local Synaptic Plasticity: A Biologically-realistic Perspective of Computer Vision. CoRR abs/2111.00791 (2021) - [i14]M. Lakshmi Varshika, Adarsha Balaji, Federico Corradi, Anup Das, Jan Stuijt, Francky Catthoor:
Design of Many-Core Big Little μBrain for Energy-Efficient Embedded Neuromorphic Computing. CoRR abs/2111.11838 (2021) - [i13]Matheus A. Cavalcante, Anthony Agnesina, Samuel Riedel, Moritz Brunion, Alberto García-Ortiz, Dragomir Milojevic, Francky Catthoor, Sung Kyu Lim, Luca Benini:
MemPool-3D: Boosting Performance and Efficiency of Shared-L1 Memory Many-Core Clusters with 3D Integration. CoRR abs/2112.01168 (2021) - 2020
- [j234]Lingjun Zhu, Lennart Bamberg, Anthony Agnesina, Francky Catthoor, Dragomir Milojevic, Manu Komalan, Julien Ryckaert, Alberto García-Ortiz, Sung Kyu Lim:
Heterogeneous 3D Integration for a RISC-V System With STT-MRAM. IEEE Comput. Archit. Lett. 19(1): 51-54 (2020) - [j233]Hoang Anh Du Nguyen, Jintao Yu, Muath Abu Lebdeh, Mottaqiallah Taouil, Said Hamdioui, Francky Catthoor:
A Classification of Memory-Centric Computing. ACM J. Emerg. Technol. Comput. Syst. 16(2): 13:1-13:26 (2020) - [j232]Ioannis Latifis, Karthick Parashar, Grigoris Dimitroulakos, Hans Cappelle, Christakis Lezos, Konstantinos Masselos, Francky Catthoor:
A Retargetable MATLAB-to-C Compiler Exploiting Custom Instructions and Data Parallelism. ACM Trans. Embed. Comput. Syst. 19(6): 50:1-50:27 (2020) - [j231]Adarsha Balaji, Francky Catthoor, Anup Das, Yuefeng Wu, Khanh Huynh, Francesco Dell'Anna, Giacomo Indiveri, Jeffrey L. Krichmar, Nikil D. Dutt, Siebren Schaafsma:
Mapping Spiking Neural Networks to Neuromorphic Hardware. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 76-86 (2020) - [j230]Loris Duch, Miguel Peón Quirós, Pieter Weckx, Alexandre Levisse, Rubén Braojos, Francky Catthoor, David Atienza:
Analysis of Functional Errors Produced by Long-Term Workload-Dependent BTI Degradation in Ultralow Power Processors. IEEE Trans. Very Large Scale Integr. Syst. 28(10): 2122-2133 (2020) - [j229]Adarsha Balaji, Thibaut Marty, Anup Das, Francky Catthoor:
Run-time Mapping of Spiking Neural Networks to Neuromorphic Hardware. J. Signal Process. Syst. 92(11): 1293-1302 (2020) - [c413]Dolores Blanco-Almazán, Daniel Romero, Willemijn Groenendaal, Lien Lijnen, Christophe Smeets, David Ruttens, Francky Catthoor, Raimon Jané:
Relationship Between Heart Rate Recovery and Disease Severity in Chronic Obstructive Pulmonary Disease Patients. CinC 2020: 1-4 - [c412]Daniel Romero, Dolores Blanco-Almazán, Willemijn Groenendaal, Lien Lijnen, Christophe Smeets, David Ruttens, Francky Catthoor, Raimon Jané:
Cardiac Comorbidities in COPD Patients Explained Through HRV and Respiratory Indices. CinC 2020: 1-4 - [c411]Eleonora Testa, Samantha Lubaba Noor, Odysseas Zografos, Mathias Soeken, Francky Catthoor, Azad Naeemi, Giovanni De Micheli:
Multiplier Architectures: Challenges and Opportunities with Plasmonic-based Logic : (Special Session Paper). DATE 2020: 133-138 - [c410]Daniel Kraak, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
Mitigation of Sense Amplifier Degradation Using Skewed Design. DATE 2020: 1614-1617 - [c409]Daniël Kraak, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
eSRAM Reliability: Why is it still not optimally solved? DTIS 2020: 1-6 - [c408]Adarsha Balaji, Prathyusha Adiraju, Hirak Jyoti Kashyap, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Francky Catthoor:
PyCARL: A PyNN Interface for Hardware-Software Co-Simulation of Spiking Neural Network. IJCNN 2020: 1-10 - [c407]Subrat Mishra, Pieter Weckx, Ji-Yung Lin, Ben Kaczer, Dimitri Linten, Alessio Spessot, Francky Catthoor:
Fast & Accurate Methodology for Aging Incorporation in Circuits using Adaptive Waveform Splitting (AWS). IRPS 2020: 1-5 - [c406]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi B. Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor:
Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects. IRPS 2020: 1-5 - [c405]Manolis Katsaragakis, Lazaros Papadopoulos, Mario Konijnenburg, Francky Catthoor, Dimitrios Soudris:
Memory Footprint Optimization Techniques for Machine Learning Applications in Embedded Systems. ISCAS 2020: 1-4 - [c404]Edouard Giacomin, Jürgen Bömmels, Julien Ryckaert, Francky Catthoor, Pierre-Emmanuel Gaillardon:
Layout Considerations of Logic Designs Using an N-layer 3D Nanofabric Process Flow. VLSI-SOC 2020: 34-39 - [c403]Edouard Giacomin, Jürgen Bömmels, Julien Ryckaert, Francky Catthoor, Pierre-Emmanuel Gaillardon:
3D Nanofabric: Layout Challenges and Solutions for Ultra-scaled Logic Designs. VLSI-SoC (Selected Papers) 2020: 279-300 - [i12]Adarsha Balaji, Prathyusha Adiraju, Hirak Jyoti Kashyap, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Francky Catthoor:
PyCARL: A PyNN Interface for Hardware-Software Co-Simulation of Spiking Neural Network. CoRR abs/2003.09696 (2020) - [i11]Adarsha Balaji, Thibaut Marty, Anup Das, Francky Catthoor:
Run-time Mapping of Spiking Neural Networks to Neuromorphic Hardware. CoRR abs/2006.06777 (2020) - [i10]Adarsha Balaji, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial Decomposition. CoRR abs/2009.09298 (2020)
2010 – 2019
- 2019
- [j228]Dolores Blanco-Almazán, Willemijn Groenendaal, Francky Catthoor, Raimon Jané:
Wearable Bioimpedance Measurement for Respiratory Monitoring During Inspiratory Loading. IEEE Access 7: 89487-89496 (2019) - [j227]Adarsha Balaji, Shihao Song, Anup Das, Nikil D. Dutt, Jeff Krichmar, Nagarajan Kandasamy, Francky Catthoor:
A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing. IEEE Comput. Archit. Lett. 18(2): 149-152 (2019) - [j226]Michail Noltsis, Eleni Maragkoudaki, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Failure probability of a FinFET-based SRAM cell utilizing the most probable failure point. Integr. 69: 111-119 (2019) - [j225]Francesca Criscuolo, Irene Taurino, Van Anh Dam, Francky Catthoor, Marcel Zevenbergen, Sandro Carrara, Giovanni De Micheli:
Fast Procedures for the Electrodeposition of Platinum Nanostructures on Miniaturized Electrodes for Improved Ion Sensing. Sensors 19(10): 2260 (2019) - [j224]Michail Noltsis, Nikolaos Zambelis, Francky Catthoor, Dimitrios Soudris:
A Closed-Loop Controller to Ensure Performance and Temperature Constraints for Dynamic Applications. ACM Trans. Embed. Comput. Syst. 18(5): 40:1-40:24 (2019) - [j223]Daniel Kraak, Mottaqiallah Taouil, Innocent Agbo, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
Parametric and Functional Degradation Analysis of Complete 14-nm FinFET SRAM. IEEE Trans. Very Large Scale Integr. Syst. 27(6): 1308-1321 (2019) - [c402]Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
Methodology for Application-Dependent Degradation Analysis of Memory Timing. DATE 2019: 162-167 - [c401]Said Hamdioui, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Abu Sebastian, Manuel Le Gallo, Sandeep Pande, Siebren Schaafsma, Francky Catthoor, Shidhartha Das, Fernando García-Redondo, Geethan Karunaratne, Abbas Rahimi, Luca Benini:
Applications of Computation-In-Memory Architectures based on Memristive Devices. DATE 2019: 486-491 - [c400]Dolores Blanco-Almazán, Willemijn Groenendaal, Francky Catthoor, Raimon Jané:
Analysis of Time Delay between Bioimpedance and Respiratory Volume Signals under Inspiratory Loaded Breathing. EMBC 2019: 2365-2368 - [c399]Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
Hardware-Based Aging Mitigation Scheme for Memory Address Decoder. ETS 2019: 1-6 - [c398]Adarsha Balaji, Yuefeng Wu, Anup Das, Francky Catthoor, Siebren Schaafsma:
Exploration of Segmented Bus As Scalable Global Interconnect for Neuromorphic Computing. ACM Great Lakes Symposium on VLSI 2019: 495-499 - [c397]Timon Evenblij, Christian Tenllado, Manu Perumkunnil, Francky Catthoor, Sushil Sakhare, Peter Debacker, Gouri Sankar Kar, Arnaud Furnémont, Nicolas Bueno, José Ignacio Gómez Pérez:
A Comparative Analysis on the Impact of Bank Contention in STT-MRAM and SRAM Based LLCs. ICCD 2019: 255-263 - [c396]Federico Corradi, Sandeep Pande, Jan Stuijt, Ning Qiao, Siebren Schaafsma, Giacomo Indiveri, Francky Catthoor:
ECG-based Heartbeat Classification in Neuromorphic Hardware. IJCNN 2019: 1-8 - [c395]Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor:
Variation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects. IRPS 2019: 1-6 - [c394]Michail Noltsis, Nikolaos Zambelis, Francky Catthoor, Dimitrios Soudris:
A Synergy of a Closed-Loop DVFS Controller and CPU Hot-Plug For Run-Time Thermal Management in Multicore Systems. PATMOS 2019: 49-56 - [i9]Anup Das, Francky Catthoor, Siebren Schaafsma:
Heartbeat Classification in Wearables Using Multi-layer Perceptron and Time-Frequency Joint Distribution of ECG. CoRR abs/1908.06865 (2019) - [i8]Anup Das, Yuefeng Wu, Khanh Huynh, Francesco Dell'Anna, Francky Catthoor, Siebren Schaafsma:
Mapping of Local and Global Synapses on Spiking Neuromorphic Hardware. CoRR abs/1908.08024 (2019) - [i7]Adarsha Balaji, Anup Das, Yuefeng Wu, Khanh Huynh, Francesco Dell'Anna, Giacomo Indiveri, Jeffrey L. Krichmar, Nikil D. Dutt, Siebren Schaafsma, Francky Catthoor:
Mapping Spiking Neural Networks to Neuromorphic Hardware. CoRR abs/1909.01843 (2019) - [i6]Adarsha Balaji, Shihao Song, Anup Das, Nikil D. Dutt, Jeffrey L. Krichmar, Nagarajan Kandasamy, Francky Catthoor:
A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing. CoRR abs/1911.00548 (2019) - 2018
- [j222]Adarsha Balaji, Federico Corradi, Anup Das, Sandeep Pande, Siebren Schaafsma, Francky Catthoor:
Power-Accuracy Trade-Offs for Heartbeat Classification on Neural Networks Hardware. J. Low Power Electron. 14(4): 508-519 (2018) - [j221]Yahya H. Yassin, Francky Catthoor, Per Gunnar Kjeldsberg, Andrew Perkis:
Techniques for dynamic hardware management of streaming media applications using a framework for system scenarios. Microprocess. Microsystems 56: 157-168 (2018) - [j220]Ben Kaczer, Jacopo Franco, Pieter Weckx, Philippe Roussel, Vamsi Putcha, Erik Bury, Marko Simicic, Adrian Vaisman Chasin, Dimitri Linten, Bertrand Parvais, Francky Catthoor, Gerhard Rzepa, Michael Waltl, Tibor Grasser:
A brief overview of gate oxide defect properties and their relation to MOSFET instabilities and device and circuit time-dependent variability. Microelectron. Reliab. 81: 186-194 (2018) - [j219]Innocent Agbo, Mottaqiallah Taouil, Daniel Kraak, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene:
Impact and mitigation of SRAM read path aging. Microelectron. Reliab. 87: 158-167 (2018) - [j218]Anup Das, Paruthi Pradhapan, Willemijn Groenendaal, Prathyusha Adiraju, Raj Thilak Rajan, Francky Catthoor, Siebren Schaafsma, Jeffrey L. Krichmar, Nikil D. Dutt, Chris Van Hoof:
Unsupervised heart-rate estimation in wearables with Liquid states and a probabilistic readout. Neural Networks 99: 134-147 (2018) - [j217]Yahya H. Yassin, Francky Catthoor, Fabian Kloosterman, Jyh-Jang Sun, João Couto, Per Gunnar Kjeldsberg, Nick Van Helleputte:
Algorithm/Architecture Co-optimisation Technique for Automatic Data Reduction of Wireless Read-Out in High-Density Electrode Arrays. ACM Trans. Embed. Comput. Syst. 17(3): 67:1-67:19 (2018) - [j216]Elena Hammari, Per Gunnar Kjeldsberg, Francky Catthoor:
Runtime Precomputation of Data-Dependent Parameters in Embedded Systems. ACM Trans. Embed. Comput. Syst. 17(3): 68:1-68:21 (2018) - [j215]Anup Das, Francky Catthoor, André Bourdoux, Bert Gyselinckx:
Energy-Efficient Mapping of LTE-A PHY Signal Processing Tasks on Microservers. IEEE Trans. Green Commun. Netw. 2(2): 397-407 (2018) - [j214]Michail Noltsis, Dimitrios Rodopoulos, Nikolaos Zompakis, Francky Catthoor, Dimitrios Soudris:
Runtime Slack Creation for Processor Performance Variability using System Scenarios. ACM Trans. Design Autom. Electr. Syst. 23(2): 24:1-24:23 (2018) - [c393]Anup K. Das, Francky Catthoor, Siebren Schaafsma:
Heartbeat Classification in Wearables Using Multi-layer Perceptron and Time-Frequency Joint Distribution of ECG. CHASE 2018: 69-74 - [c392]Guillermo Talavera, Antoni Portero, Francky Catthoor:
Impact of Address Generation on Multimedia Embedded VLIW Processors. CISIM 2018: 417-433 - [c391]Manu Komalan, Oh Hyung Rock, Matthias Hartmann, Sushil Sakhare, Christian Tenllado, José Ignacio Gómez, Gouri Sankar Kar, Arnaud Furnémont, Francky Catthoor, Sophiane Senni, David Novo, Abdoulaye Gamatié, Lionel Torres:
Main memory organization trade-offs with DRAM and STT-MRAM options based on gem5-NVMain simulation frameworks. DATE 2018: 103-108 - [c390]Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
Degradation analysis of high performance 14nm FinFET SRAM. DATE 2018: 201-206 - [c389]Giovanni V. Resta, Jorge Romero Gonzalez, Yashwanth Balaji, Tarun Agarwal, Dennis Lin, Francky Catthoor, Iuliana P. Radu, Giovanni De Micheli, Pierre-Emmanuel Gaillardon:
Towards high-performance polarity-controllable FETs with 2D materials. DATE 2018: 637-641 - [c388]Anup Das, Yuefeng Wu, Khanh Huynh, Francesco Dell'Anna, Francky Catthoor, Siebren Schaafsma:
Mapping of local and global synapses on spiking neuromorphic hardware. DATE 2018: 1217-1222 - [c387]Giovanni V. Resta, Yashwanth Balaji, Dennis Lin, Iuliana P. Radu, Francky Catthoor, Pierre-Emmanuel Gaillardon, Giovanni De Micheli:
Doping-free complementary inverter enabled by 2D WSe2 electrostatically-doped reconfigurable transistors. DRC 2018: 1-2 - [c386]Daniel Kraak, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Francky Catthoor, Abhijit Chatterjee, Adit D. Singh, Hans-Joachim Wunderlich, Naghmeh Karimi:
Device aging: A reliability and security concern. ETS 2018: 1-10 - [c385]Anup Das, Domenico Balsamo, Geoff V. Merrett, Bashir M. Al-Hashimi, Francky Catthoor:
Graceful Performance Adaption through Hardware-Software Interaction for Autonomous Battery Management of Multicore Smartphones. IGSC 2018: 1-6 - [c384]Michail Noltsis, Panayiotis Englezakis, Eleni Maragkoudaki, Chrysostomos Nicopoulos, Dimitrios Rodopoulos, Francky Catthoor, Yiannakis Sazeides, Davide Zoni, Dimitrios Soudris:
Fast Estimations of Failure Probability Over Long Time Spans. NANOARCH 2018: 1-6 - 2017
- [j213]Georgia Psychou, Dimitrios Rodopoulos, Mohamed M. Sabry, Tobias Gemmeke, David Atienza, Tobias G. Noll, Francky Catthoor:
Classification of Resilience Techniques Against Functional Errors at Higher Abstraction Layers of Digital Systems. ACM Comput. Surv. 50(4): 50:1-50:38 (2017) - [j212]Francky Catthoor, Guido Groeseneken:
Will Chips of the Future Learn How to Feel Pain and Cure Themselves? IEEE Des. Test 34(5): 80-87 (2017) - [j211]Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth:
Worst-case performance analysis of SDF-based parameterized dataflow. Microprocess. Microsystems 52: 439-460 (2017) - [j210]Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth:
Parameterized Dataflow Scenarios. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(4): 669-682 (2017) - [j209]Ioannis Latifis, Karthick Parashar, Grigoris Dimitroulakos, Hans Cappelle, Christakis Lezos, Konstantinos Masselos, Francky Catthoor:
A MATLAB Vectorizing Compiler Targeting Application-Specific Instruction Set Processors. ACM Trans. Design Autom. Electr. Syst. 22(2): 32:1-32:28 (2017) - [j208]Innocent Agbo, Mottaqiallah Taouil, Daniel Kraak, Said Hamdioui, Halil Kukner, Pieter Weckx, Praveen Raghavan, Francky Catthoor:
Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1444-1454 (2017) - [j207]Daniel Kraak, Mottaqiallah Taouil, Innocent Agbo, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
Impact and Mitigation of Sense Amplifier Aging Degradation Using Realistic Workloads. IEEE Trans. Very Large Scale Integr. Syst. 25(12): 3464-3472 (2017) - [c383]Nikolaos Zompakis, Michail Noltsis, Lorena Ndreu, Zacharias Hadjilambrou, Panayiotis Englezakis, Panagiota Nikolaou, Antoni Portero, Simone Libutti, Giuseppe Massari, Federico Sassi, Alessandro Bacchini, Chrysostomos Nicopoulos, Yiannakis Sazeides, Radim Vavrík, Martin Golasowski, Jiri Sevcík, Vít Vondrák, Francky Catthoor, William Fornaciari, Dimitrios Soudris:
HARPA: Tackling physically induced performance variability. DATE 2017: 97-102 - [c382]Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene:
Mitigation of sense amplifier degradation using input switching. DATE 2017: 858-863 - [c381]Odysseas Zografos, A. De Meester, Eleonora Testa, Mathias Soeken, Pierre-Emmanuel Gaillardon, Giovanni De Micheli, Luca Gaetano Amarù, Praveen Raghavan, Francky Catthoor, Rudy Lauwereins:
Wave pipelining for majority-based beyond-CMOS technologies. DATE 2017: 1306-1311 - [c380]Nikolaos Zompakis, Michail Noltsis, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Energy Efficient Adaptive Approach for Dependable Performance in the presence of Timing Interference. ACM Great Lakes Symposium on VLSI 2017: 209-214 - [c379]Manu Komalan, Sushil Sakhare, Trong Huynh Bao, Siddharth Rao, Woojin Kim, Christian Tenllado, José Ignacio Gómez, Gouri Sankar Kar, Arnaud Furnémont, Francky Catthoor:
Cross-layer design and analysis of a low power, high density STT-MRAM for embedded systems. ISCAS 2017: 1-4 - [c378]Michail Noltsis, Eleni Maragkoudaki, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Failure probability of a FinFET-based SRAM cell utilizing the most probable failure point. PATMOS 2017: 1-8 - [i5]Anup Das, Paruthi Pradhapan, Willemijn Groenendaal, Prathyusha Adiraju, Raj Thilak Rajan, Francky Catthoor, Siebren Schaafsma, Jeffrey L. Krichmar, Nikil D. Dutt, Chris Van Hoof:
Unsupervised Heart-rate Estimation in Wearables With Liquid States and A Probabilistic Readout. CoRR abs/1708.05356 (2017) - 2016
- [j206]Namita Sharma, Preeti Ranjan Panda, Francky Catthoor, Min Li, Prashant Agrawal:
Data Flow Transformation for Energy-Efficient Implementation of Givens Rotation-Based QRD. ACM Trans. Embed. Comput. Syst. 15(1): 18:1-18:23 (2016) - [j205]Maria-Iro Baka, Francky Catthoor, Dimitrios Soudris:
Near-Static Shading Exploration for Smart Photovoltaic Module Topologies Based on Snake-like Configurations. ACM Trans. Embed. Comput. Syst. 15(2): 27:1-27:21 (2016) - [j204]Iason Filippopoulos, Namita Sharma, Francky Catthoor, Per Gunnar Kjeldsberg, Preeti Ranjan Panda:
Integrated Exploration Methodology for Data Interleaving and Data-to-Memory Mapping on SIMD Architectures. ACM Trans. Embed. Comput. Syst. 15(3): 59:1-59:23 (2016) - [j203]Angeliki Kritikakou, Francky Catthoor, Vasilios I. Kelefouras, Costas E. Goutis:
Array Size Computation under Uniform Overlapping and Irregular Accesses. ACM Trans. Design Autom. Electr. Syst. 21(2): 22:1-22:35 (2016) - [c377]Dimitrios Rodopoulos, Philippe Roussel, Francky Catthoor, Yiannakis Sazeides, Dimitrios Soudris:
Approximating Standard Cell Delay Distributions by Reformulating the Most Probable Failure Point. ERMAVSS@DATE 2016: 13-16 - [c376]Ioannis Latifis, Karthick Parashar, Grigoris Dimitroulakos, Hans Cappelle, Christakis Lezos, Konstantinos Masselos, Francky Catthoor:
Matlab to C compilation targeting Application Specific Instruction Set Processors. DATE 2016: 1453-1456 - [c375]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Praveen Raghavan, Francky Catthoor:
Comparative BTI analysis for various sense amplifier designs. DDECS 2016: 68-73 - [c374]Yahya H. Yassin, Per Gunnar Kjeldsberg, Andrew Perkis, Francky Catthoor:
Dynamic Hardware Management of the H264/AVC Encoder Control Structure Using a Framework for System Scenarios. DSD 2016: 222-229 - [c373]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor, Wim Dehaene:
Read path degradation analysis in SRAM. ETS 2016: 1-2 - [c372]Dimitrios Stamoulis, Simone Corbetta, Dimitrios Rodopoulos, Pieter Weckx, Peter Debacker, Brett H. Meyer, Ben Kaczer, Praveen Raghavan, Dimitrios Soudris, Francky Catthoor, Zeljko Zilic:
Capturing True Workload Dependency of BTI-induced Degradation in CPU Components. ACM Great Lakes Symposium on VLSI 2016: 373-376 - [c371]Antoni Portero, Jiri Sevcík, Martin Golasowski, Radim Vavrík, Simone Libutti, Giuseppe Massari, Francky Catthoor, William Fornaciari, Vít Vondrák:
Using an adaptive and time predictable runtime system for power-aware HPC-oriented applications. IGSC 2016: 1-6 - [c370]Michail Noltsis, Pieter Weckx, Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Accuracy of Quasi-Monte Carlo technique in failure probability estimations. ICICDT 2016: 1-4 - [c369]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Praveen Raghavan, Francky Catthoor, Wim Dehaene:
Quantification of Sense Amplifier Offset Voltage Degradation due to Zero-and Run-Time Variability. ISVLSI 2016: 725-730 - [p3]Tobias Gemmeke, Mohamed M. Sabry, Jan Stuijt, Pieter Schuddinck, Praveen Raghavan, Francky Catthoor:
Memories for NTC. Near Threshold Computing 2016: 75-100 - 2015
- [j202]Dimitrios Rodopoulos, Francky Catthoor, Dimitrios Soudris:
Tackling Performance Variability Due to RAS Mechanisms with PID-Controlled DVFS. IEEE Comput. Archit. Lett. 14(2): 156-159 (2015) - [j201]Dimitrios Rodopoulos, Georgia Psychou, Mohamed M. Sabry, Francky Catthoor, Antonis Papanikolaou, Dimitrios Soudris, Tobias G. Noll, David Atienza:
Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations. ACM Comput. Surv. 47(3): 38:1-38:33 (2015) - [j200]Halil Kükner, Pieter Weckx, Sébastien Morrison, Jacopo Franco, Maria Toledano-Luque, Moonju Cho, Praveen Raghavan, Ben Kaczer, Doyoung Jang, Kenichi Miyaguchi, Marie Garcia Bardon, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken:
Comparison of NBTI aging on adder architectures and ring oscillators in the downscaling technology nodes. Microprocess. Microsystems 39(8): 1039-1051 (2015) - [j199]Miguel Peón Quirós, Alexandros Bartzas, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Placement of Linked Dynamic Data Structures over Heterogeneous Memories in Embedded Systems. ACM Trans. Embed. Comput. Syst. 14(2): 37:1-37:30 (2015) - [j198]Namita Sharma, Preeti Ranjan Panda, Francky Catthoor, Praveen Raghavan, Tom Vander Aa:
Array Interleaving - An Energy-Efficient Data Layout Transformation. ACM Trans. Design Autom. Electr. Syst. 20(3): 44:1-44:26 (2015) - [j197]Dimitrios Rodopoulos, Antonis Papanikolaou, Francky Catthoor, Dimitrios Soudris:
Demonstrating HW-SW Transient Error Mitigation on the Single-Chip Cloud Computer Data Plane. IEEE Trans. Very Large Scale Integr. Syst. 23(3): 507-519 (2015) - [j196]Robert Fasthuber, Praveen Raghavan, Liesbet Van der Perre, Francky Catthoor:
A Scalable MIMO Detector Processor With Near-ASIC Energy Efficiency. IEEE Trans. Very Large Scale Integr. Syst. 23(10): 1973-1986 (2015) - [c368]Pieter Weckx, Ben Kaczer, Praveen Raghavan, Jacopo Franco, Marko Simicic, Philippe J. Roussel, Dimitri Linten, Aaron Thean, Diederik Verkest, Francky Catthoor, Guido Groeseneken:
Characterization and simulation methodology for time-dependent variability in advanced technologies. CICC 2015: 1-8 - [c367]Namita Sharma, Preeti Ranjan Panda, Francky Catthoor:
Energy efficient FFT implementation through stage skipping and merging. CODES+ISSS 2015: 153-162 - [c366]Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth:
Worst-case latency analysis of SDF-based parametrized dataflow MoCs. DASIP 2015: 1-6 - [c365]Manu Perumkunnil Komalan, Christian Tenllado, José Ignacio Gómez Pérez, Francisco Tirado Fernández, Francky Catthoor:
System level exploration of a STT-MRAM based level 1 data-cache. DATE 2015: 1311-1316 - [c364]Said Hamdioui, Lei Xie, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Koen Bertels, Henk Corporaal, Hailong Jiao, Francky Catthoor, Dirk J. Wouters, Eike Linn, Jan van Lunteren:
Memristor based computation-in-memory architecture for data-intensive applications. DATE 2015: 1718-1725 - [c363]Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth:
Worst-Case Throughput Analysis of SDF-Based Parametrized Dataflow. DSD 2015: 17-24 - [c362]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Stefan Cosemans, Pieter Weckx, Praveen Raghavan, Francky Catthoor:
Comparative analysis of RD and Atomistic trap-based BTI models on SRAM Sense Amplifier. DTIS 2015: 1-6 - [c361]Yahya H. Yassin, Per Gunnar Kjeldsberg, Francky Catthoor:
System scenario framework evaluation on EFM32 using the H264/AVC encoder control structure. ECCTD 2015: 1-4 - [c360]Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth:
Parametrized dataflow scenarios. EMSOFT 2015: 95-104 - [c359]Ben Kaczer, Jacopo Franco, Pieter Weckx, Philippe Roussel, Erik Bury, Moonju Cho, Robin Degraeve, Dimitri Linten, Guido Groeseneken, Halil Kukner, Praveen Raghavan, Francky Catthoor, Gerhard Rzepa, Wolfgang Gös, Tibor Grasser:
The defect-centric perspective of device and circuit reliability - From individual defects to circuits. ESSDERC 2015: 218-225 - [c358]Dimitrios Stamoulis, Dimitrios Rodopoulos, Brett H. Meyer, Dimitrios Soudris, Francky Catthoor, Zeljko Zilic:
Efficient Reliability Analysis of Processor Datapath using Atomistic BTI Variability Models. ACM Great Lakes Symposium on VLSI 2015: 57-62 - [c357]Pieter Weckx, Ben Kaczer, Philippe J. Roussel, Francky Catthoor, Guido Groeseneken:
Impact of time-dependent variability on the yield and performance of 6T SRAM cells in an advanced HK/MG technology. ICICDT 2015: 1-4 - [c356]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor:
BTI analysis of SRAM write driver. IDT 2015: 100-105 - [c355]Pieter Weckx, Ben Kaczer, C. Chen, Jacopo Franco, Erik Bury, Kausik Chanda, J. Watt, Philippe J. Roussel, Francky Catthoor, Guido Groeseneken:
Characterization of time-dependent variability using 32k transistor arrays in an advanced HK/MG technology. IRPS 2015: 3 - [c354]Chenyun Pan, Praveen Raghavan, Francky Catthoor, Zsolt Tokei, Azad Naeemi:
Technology/circuit co-optimization and benchmarking for graphene interconnects at Sub-10nm technology node. ISQED 2015: 599-603 - [c353]Dimitrios Rodopoulos, Simone Corbetta, Giuseppe Massari, Simone Libutti, Francky Catthoor, Yiannakis Sazeides, Chrysostomos Nicopoulos, Antoni Portero, Etienne Cappe, Radim Vavrík, Vít Vondrák, Dimitrios Soudris, Federico Sassi, Agnes Fritsch, William Fornaciari:
HARPA: Solutions for dependable performance under physically induced performance variability. SAMOS 2015: 270-277 - [c352]Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Halil Kukner, Pieter Weckx, Praveen Raghavan, Francky Catthoor:
Integral impact of BTI and voltage temperature variation on SRAM sense amplifier. VTS 2015: 1-6 - 2014
- [j195]Prashant Agrawal, Dragomir Milojevic, Praveen Raghavan, Francky Catthoor, Liesbet Van der Perre, Eric Beyne, Ravi Varadarajan:
System Level Comparison of 3D Integration Technologies for Future Mobile MPSoC Platform. IEEE Embed. Syst. Lett. 6(4): 85-88 (2014) - [j194]Ittetsu Taniguchi, Kohei Aoki, Hiroyuki Tomiyama, Praveen Raghavan, Francky Catthoor, Masahiro Fukui:
Fast and Accurate Architecture Exploration for High Performance and Low Energy VLIW Data-Path. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 97-A(2): 606-615 (2014) - [j193]Angeliki Kritikakou, Francky Catthoor, Vasilios I. Kelefouras, Costas E. Goutis:
A scalable and near-optimal representation of access schemes for memory management. ACM Trans. Archit. Code Optim. 11(1): 13:1-13:25 (2014) - [j192]Mohamed M. Sabry, David Atienza, Francky Catthoor:
OCEAN: An Optimized HW/SW Reliability Mitigation Approach for Scratchpad Memories in Real-Time SoCs. ACM Trans. Embed. Comput. Syst. 13(4s): 138:1-138:26 (2014) - [j191]Juan Antonio Clemente, Elena Perez Ramo, Javier Resano, Daniel Mozos, Francky Catthoor:
Configuration Mapping Algorithms to Reduce Energy and Time Reconfiguration Overheads in Reconfigurable Systems. IEEE Trans. Very Large Scale Integr. Syst. 22(6): 1248-1261 (2014) - [c351]Juan Carlos Juega, José Ignacio Gómez, Christian Tenllado, Francky Catthoor:
Adaptive Mapping and Parameter Selection Scheme to Improve Automatic Code Generation for GPUs. CGO 2014: 251 - [c350]Tobias Gemmeke, Mohamed M. Sabry, Jan Stuijt, Praveen Raghavan, Francky Catthoor, David Atienza:
Resolving the memory bottleneck for single supply near-threshold computing. DATE 2014: 1-6 - [c349]Seyab Khan, Innocent Agbo, Said Hamdioui, Halil Kukner, Ben Kaczer, Praveen Raghavan, Francky Catthoor:
Bias Temperature Instability analysis of FinFET based SRAM cells. DATE 2014: 1-6 - [c348]Manu Komalan, José Ignacio Gómez Pérez, Christian Tenllado, Praveen Raghavan, Matthias Hartmann, Francky Catthoor:
Feasibility exploration of NVM based I-cache through MSHR enhancements. DATE 2014: 1-6 - [c347]David Novo, Nazanin Farahpour, Paolo Ienne, Ubaid Ahmad, Francky Catthoor:
Energy efficient MIMO processing: A case study of opportunistic run-time approximations. DATE 2014: 1-6 - [c346]Namita Sharma, Preeti Ranjan Panda, Min Li, Prashant Agrawal, Francky Catthoor:
Energy efficient data flow transformation for Givens Rotation based QR Decomposition. DATE 2014: 1-4 - [c345]Nikolaos Zompakis, Iason Filippopoulos, Per Gunnar Kjeldsberg, Francky Catthoor, Dimitrios Soudris:
Systematic Exploration of Power-Aware Scenarios for IEEE 802.11ac WLAN Systems. DSD 2014: 28-35 - [c344]Halil Kukner, Pieter Weckx, Sebastien Morrison, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken:
NBTI Aging on 32-Bit Adders in the Downscaling Planar FET Technology Nodes. DSD 2014: 98-107 - [c343]Dimitrios Rodopoulos, Dimitrios Stamoulis, Grigorios Lyras, Dimitrios Soudris, Francky Catthoor:
Understanding timing impact of BTI/RTN with massively threaded atomistic transient simulations. ICICDT 2014: 1-4 - [c342]Halil Kukner, Moustafa A. Khatib, Sebastien Morrison, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken:
Degradation analysis of datapath logic subblocks under NBTI aging in FinFET technology. ISQED 2014: 473-479 - [c341]Mladen Skelin, Marc Geilen, Francky Catthoor, Sverre Hendseth:
Worst-case Throughput Analysis for Parametric Rate and Parametric Actor Execution Time Scenario-Aware Dataflow Graphs. SynCoP 2014: 65-79 - 2013
- [j190]Angeliki Kritikakou, Francky Catthoor, Vasilios I. Kelefouras, Costas E. Goutis:
A systematic approach to classify design-time global scheduling techniques. ACM Comput. Surv. 45(2): 14:1-14:30 (2013) - [j189]Manu Perumkunnil Komalan, José Ignacio Gómez Pérez, Christian Tenllado, José Miguel Montañana, Antonio Artés, José Francisco Tirado Fernández, Francky Catthoor:
Design exploration of a NVM based hybrid instruction memory organization for embedded platforms. Des. Autom. Embed. Syst. 17(3-4): 459-483 (2013) - [j188]Iason Filippopoulos, Francky Catthoor, Per Gunnar Kjeldsberg:
Exploration of energy efficient memory organisations for dynamic multimedia applications using system scenarios. Des. Autom. Embed. Syst. 17(3-4): 669-692 (2013) - [j187]Nikolaos Zompakis, Antonis Papanikolaou, Praveen Raghavan, Dimitrios Soudris, Francky Catthoor:
Enabling Efficient System Configurations for Dynamic Wireless Applications Using System Scenarios. Int. J. Wirel. Inf. Networks 20(2): 140-156 (2013) - [j186]Nikolaos Zompakis, Alexandros Bartzas, Francky Catthoor, Dimitrios Soudris:
System scenarios-based architecture level exploration of SDR application using a network-on-chip simulation framework. Microprocess. Microsystems 37(6-7): 544-553 (2013) - [j185]Halil Kükner, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken:
Impact of duty factor, stress stimuli, gate and drive strength on gate delay degradation with an atomistic trap-based BTI model. Microprocess. Microsystems 37(8-A): 792-800 (2013) - [j184]Sven Verdoolaege, Juan Carlos Juega, Albert Cohen, José Ignacio Gómez, Christian Tenllado, Francky Catthoor:
Polyhedral parallel code generation for CUDA. ACM Trans. Archit. Code Optim. 9(4): 54:1-54:23 (2013) - [j183]Angeliki Kritikakou, Francky Catthoor, George Athanasiou, Vasilios I. Kelefouras, Costas E. Goutis:
Near-Optimal Microprocessor and Accelerators Codesign with Latency and Throughput Constraints. ACM Trans. Archit. Code Optim. 10(2): 6:1-6:25 (2013) - [j182]Concepción Sanz, José Ignacio Gómez, Christian Tenllado, Manuel Prieto, Francky Catthoor:
System-level memory management based on statistical variability compensation for frame-based applications. ACM Trans. Embed. Comput. Syst. 13(1s): 35:1-35:28 (2013) - [j181]Angeliki Kritikakou, Francky Catthoor, Vasilios I. Kelefouras, Costas E. Goutis:
Near-optimal and scalable intrasignal in-place optimization for non-overlapping and irregular access schemes. ACM Trans. Design Autom. Electr. Syst. 19(1): 4:1-4:30 (2013) - [j180]Antonio Artés, José L. Ayala, Jos Huisken, Francky Catthoor:
Survey of Low-Energy Techniques for Instruction Memory Organisations in Embedded Systems. J. Signal Process. Syst. 70(1): 1-19 (2013) - [j179]Antonio Artés, Robert Fasthuber, José Luis Ayala, Praveen Raghavan, Francky Catthoor:
Design Space Exploration of Distributed Loop Buffer Architectures with Incompatible Loop-Nest Organisations in Embedded Systems. J. Signal Process. Syst. 72(1): 69-85 (2013) - [c340]Prashant Agrawal, Praveen Raghavan, Matthias Hartmann, Namita Sharma, Liesbet Van der Perre, Francky Catthoor:
Early exploration for platform architecture instantiation with multi-mode application partitioning. DAC 2013: 132:1-132:8 - [c339]Seyab Khan, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor:
Bias temperature instability analysis in SRAM decoder. ETS 2013: 1 - [c338]Namita Sharma, Tom Vander Aa, Prashant Agrawal, Praveen Raghavan, Preeti Ranjan Panda, Francky Catthoor:
Data memory optimization in LTE downlink. ICASSP 2013: 2610-2614 - [c337]Seyab Khan, Mottaqiallah Taouil, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor:
Impact of partial resistive defects and Bias Temperature Instability on SRAM decoder reliablity. IDT 2013: 1-6 - [c336]David Novo, I. Tzimi, Ubaid Ahmad, Paolo Ienne, Francky Catthoor:
Cracking the complexity of fixed-point refinement in complex wireless systems. SiPS 2013: 18-23 - [c335]Antonio Artés, José Luis Ayala, Robert Fasthuber, Praveen Raghavan, Francky Catthoor:
Energy impact in the design space exploration of loop buffer schemes in embedded systems. VLSI-SoC 2013: 216-221 - 2012
- [j178]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
Ultra Low-Energy SRAM Design for Smart Ubiquitous Sensors. IEEE Micro 32(5): 10-24 (2012) - [j177]Antonio Artés, José L. Ayala, Francky Catthoor:
Power Impact of Loop Buffer Schemes for Biomedical Wireless Sensor Nodes. Sensors 12(11): 15088-15118 (2012) - [c334]Georgia Psychou, Robert Fasthuber, Jos Hulzink, Jos Huisken, Francky Catthoor:
Sub-word Handling in Data-parallel Mapping. ARCS Workshops 2012: 409-420 - [c333]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
Ultra low power litho friendly local assist circuitry for variability resilient 8T SRAM. DATE 2012: 1042-1047 - [c332]Mohamed M. Sabry, David Atienza, Francky Catthoor:
A hybrid HW-SW approach for intermittent error mitigation in streaming-based embedded systems. DATE 2012: 1110-1113 - [c331]Seyab Khan, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor:
BTI impact on logical gates in nano-scale CMOS technology. DDECS 2012: 348-353 - [c330]Seyab Khan, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor:
Incorporating parameter variations in BTI impact on nano-scale logical gates analysis. DFT 2012: 158-163 - [c329]Halil Kukner, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken:
Impact of Duty Factor, Stress Stimuli, and Gate Drive Strength on Gate Delay Degradation with an Atomistic Trap-Based BTI Model. DSD 2012: 1-7 - [c328]Prashant Agrawal, Kanishk Sugand, Martin Palkovic, Praveen Raghavan, Liesbet Van der Perre, Francky Catthoor:
Partitioning and Assignment Exploration for Multiple Modes of IEEE 802.11n Modem on Heterogeneous MPSoC Platforms. DSD 2012: 608-615 - [c327]Antonio Artés, José L. Ayala, Francky Catthoor:
IMOSIM: Exploration tool for Instruction Memory Organisations based on accurate cycle-level energy modelling. ICECS 2012: 893-896 - [c326]Iason Filippopoulos, Francky Catthoor, Per Gunnar Kjeldsberg, Elena Hammari, Jos Huisken:
Memory-aware system scenario approach energy impact. NORCHIP 2012: 1-6 - [c325]Angeliki Kritikakou, Francky Catthoor, George Athanasiou, Vasilios I. Kelefouras, Costas E. Goutis:
A template-based methodology for efficient microprocessor and FPGA accelerator co-design. ICSAMOS 2012: 15-22 - [c324]Zubair Wadood Bhatti, Narasinga Rao Miniskar, Davy Preuveneers, Roel Wuyts, Yolande Berbers, Francky Catthoor:
Memory and communication driven spatio-temporal scheduling on MPSoCs. SBCCI 2012: 1-6 - 2011
- [j176]Satyakiran Munaga, Francky Catthoor:
Systematic Design Principles for Cost-Effective Hard Constraint Management in Dynamic Nonlinear Systems. Int. J. Adapt. Resilient Auton. Syst. 2(1): 18-45 (2011) - [j175]Hengjie Song, Chunyan Miao, Zhiqi Shen, Roel Wuyts, Maja D'Hondt, Francky Catthoor:
A probabilistic fuzzy approach to modeling nonlinear systems. Neurocomputing 74(6): 1008-1025 (2011) - [j174]Georgios N. Selimis, Li Huang, Fabien Massé, Ioanna Tsekoura, Maryam Ashouei, Francky Catthoor, Jos Huisken, Jan Stuyt, Guido Dolmans, Julien Penders, Harmke de Groot:
A Lightweight Security Scheme for Wireless Body Area Networks: Design, Energy Evaluation and Proposed Microprocessor Design. J. Medical Syst. 35(5): 1289-1298 (2011) - [j173]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
A 4.4 pJ/Access 80 MHz, 128 kbit Variability Resilient SRAM With Multi-Sized Sense Amplifier Redundancy. IEEE J. Solid State Circuits 46(10): 2416-2430 (2011) - [j172]Antoni Portero, Guillermo Talavera, Marc Moreno, Jordi Carrabina, Francky Catthoor:
Methodology for Energy-Flexibility Space Exploration and Mapping of Multimedia Applications to Single-Processor Platform Styles. IEEE Trans. Circuits Syst. Video Technol. 21(8): 1027-1039 (2011) - [j171]Chantal Ykman-Couvreur, Vincent Nollet, Francky Catthoor, Henk Corporaal:
Fast multidimension multichoice knapsack heuristic for MP-SoC runtime management. ACM Trans. Embed. Comput. Syst. 10(3): 35:1-35:16 (2011) - [j170]Hengjie J. Song, Chunyan Miao, Roel Wuyts, Zhiqi Shen, Maja D'Hondt, Francky Catthoor:
An Extension to Fuzzy Cognitive Maps for Classification and Prediction. IEEE Trans. Fuzzy Syst. 19(1): 116-135 (2011) - [j169]Min Li, David Novo, Bruno Bougard, Claude Desset, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
Energy Aware Signal Processing for Software Defined Radio Baseband Implementation. J. Signal Process. Syst. 63(1): 13-25 (2011) - [j168]Robert Fasthuber, Min Li, David Novo, Praveen Raghavan, Liesbet Van der Perre, Francky Catthoor:
Exploration of Soft-Output MIMO Detector Implementations on Massive Parallel Processors. J. Signal Process. Syst. 64(1): 75-92 (2011) - [c323]Seyab Khan, Nor Zaidi Haron, Said Hamdioui, Francky Catthoor:
NBTI Monitoring and Design for Reliability in Nanoscale Circuits. DFT 2011: 68-76 - [c322]Ittetsu Taniguchi, Mitsuya Uchida, Hiroyuki Tomiyama, Masahiro Fukui, Praveen Raghavan, Francky Catthoor:
An Energy Aware Design Space Exploration for VLIW AGU Model with Fine Grained Power Gating. DSD 2011: 693-700 - [c321]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
8T SRAM with Mimicked Negative Bit-lines and Charge Limited Sequential sense amplifier for wireless sensor nodes. ESSCIRC 2011: 531-534 - [c320]Ubaid Ahmad, Amir Amin, Min Li, Sofie Pollin, Liesbet Van der Perre, Francky Catthoor:
Scalable Block-Based Parallel Lattice Reduction Algorithm for an SDR Baseband Processor. ICC 2011: 1-5 - [c319]Michael Timmers, Sofie Pollin, Francky Catthoor:
On the Value of Prediction in Opportunistic Radio Systems. ICC 2011: 1-6 - [c318]Ittetsu Taniguchi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai:
Automated architecture exploration for low energy reconfigurable AGU. ISOCC 2011: 191-194 - [c317]Prashant Agrawal, Robert Fasthuber, Praveen Raghavan, Tom Vander Aa, Ubaid Ahmad, Liesbet Van der Perre, Francky Catthoor:
High level analysis of trade-offs across different partitioning schemes for wireless applications. SiPS 2011: 156-162 - [c316]Nikolaos Zompakis, Antonis Papanikolaou, Praveen Raghavan, Dimitrios Soudris, Francky Catthoor:
Enabling efficient system configurations for dynamic wireless baseband engines using system scenarios. SiPS 2011: 305-310 - [c315]Antonio Artés, José Luis Ayala, Ashoka Visweswara Sathanur, Jos Huisken, Francky Catthoor:
Run-time self-tuning banked loop buffer architecture for power optimization of dynamic workload applications. VLSI-SoC 2011: 136-141 - 2010
- [j167]Sven Verdoolaege, Martin Palkovic, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor:
Experience with Widening Based Equivalence Checking in Realistic Multimedia Systems. J. Electron. Test. 26(2): 279-292 (2010) - [j166]Satyakiran Munaga, Francky Catthoor:
Reliability-Aware Proactive Energy Management in Hard Real-Time Systems: A Motivational Case Study. Int. J. Adapt. Resilient Auton. Syst. 1(4): 1-11 (2010) - [j165]Alexandros Bartzas, Miguel Peón Quirós, Christophe Poucet, Christos Baloukas, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Software metadata: Systematic characterization of the memory behaviour of dynamic applications. J. Syst. Softw. 83(6): 1051-1075 (2010) - [j164]Hengjie Song, Chunyan Miao, Zhiqi Shen, Roel Wuyts, Maja D'Hondt, Francky Catthoor:
Design of fuzzy cognitive maps using neural networks for predicting chaotic time series. Neural Networks 23(10): 1264-1275 (2010) - [j163]Martin Palkovic, Praveen Raghavan, Min Li, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
Future Software-Defined Radio Platforms and Mapping Flows. IEEE Signal Process. Mag. 27(2): 22-33 (2010) - [j162]Bert Geelen, Vissarion Ferentinos, Francky Catthoor, Gauthier Lafruit, Diederik Verkest, Rudy Lauwereins, Thanos Stouraitis:
Modeling and exploiting spatial locality trade-offs in wavelet-based applications under varying resource requirements. ACM Trans. Embed. Comput. Syst. 9(3): 17:1-17:26 (2010) - [j161]Hengjie Song, Chunyan Miao, Roel Wuyts, Zhiqi Shen, Francky Catthoor:
Implementation of Fuzzy Cognitive Maps Based on Fuzzy Neural Network and Application in Prediction of Time Series. IEEE Trans. Fuzzy Syst. 18(2): 233-250 (2010) - [j160]Kris Heyrman, Antonis Papanikolaou, Francky Catthoor, Peter Veelaert, Wilfried Philips:
Control for Power Gating of Wires. IEEE Trans. Very Large Scale Integr. Syst. 18(9): 1287-1300 (2010) - [j159]Michael Timmers, Sofie Pollin, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
A Distributed Multichannel MAC Protocol for Multihop Cognitive Radio Networks. IEEE Trans. Veh. Technol. 59(1): 446-459 (2010) - [j158]Min Li, Tanja Van Achteren, Erik Brockmeyer, Francky Catthoor:
Statistical Performance Analysis and Estimation for Parallel Multimedia Processing. J. Signal Process. Syst. 58(2): 105-116 (2010) - [j157]Zhe Ma, Francky Catthoor:
Run-time Task Overlapping on Multiprocessor Platforms. J. Signal Process. Syst. 60(2): 169-182 (2010) - [c314]Concepción Sanz Pineda, Manuel Prieto, José Ignacio Gómez, Christian Tenllado, Francky Catthoor:
Statistical approach in a system level methodology to deal with process variation. CODES+ISSS 2010: 115-124 - [c313]David Novo, Min Li, Robert Fasthuber, Praveen Raghavan, Francky Catthoor:
Exploiting finite precision information to guide data-flow mapping. DAC 2010: 248-253 - [c312]Yiannis Iosifidis, Arindam Mallik, Stylianos Mamagkakis, Eddy de Greef, Alexandros Bartzas, Dimitrios Soudris, Francky Catthoor:
A framework for automatic parallelization, static and dynamic memory optimization in MPSoC platforms. DAC 2010: 549-554 - [c311]Marco Facchini, Paul Marchal, Francky Catthoor, Wim Dehaene:
An RDL-configurable 3D memory tier to replace on-chip SRAM. DATE 2010: 291-294 - [c310]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei, Jos Huisken, Francky Catthoor, Wim Dehaene:
A 4.4pJ/access 80MHz, 2K word } 64b memory with write masking feature and variability resilient multi-sized sense amplifier redundancy for wireless sensor nodes applications. ESSCIRC 2010: 358-361 - [c309]Daniel Ménard, David Novo, Romuald Rocher, Francky Catthoor, Olivier Sentieys:
Quantization mode opportunities in fixed-point system design. EUSIPCO 2010: 542-546 - [c308]Karthick Parashar, Daniel Ménard, Romuald Rocher, Olivier Sentieys, David Novo, Francky Catthoor:
Fast performance evaluation of fixed-point systems with un-smooth operators. ICCAD 2010: 9-16 - [c307]Ioanna Tsekoura, Georgios N. Selimis, Jos Hulzink, Francky Catthoor, Jos Huisken, Harmke de Groot, Constantinos E. Goutis:
Exploration of cryptographic ASIP designs for wireless sensor nodes. ICECS 2010: 827-830 - [c306]Wim Heirman, Dirk Stroobandt, Narasinga Rao Miniskar, Roel Wuyts, Francky Catthoor:
PinComm: Characterizing Intra-application Communication for the Many-Core Era. ICPADS 2010: 500-507 - [c305]Antonio Artés, Filipa Duarte, Maryam Ashouei, Jos Huisken, José Luis Ayala, David Atienza, Francky Catthoor:
Energy Efficiency Using Loop Buffer based Instruction Memory Organizations. IWIA 2010: 59-67 - [c304]David Novo, Angeliki Kritikakou, Praveen Raghavan, Liesbet Van der Perre, Jos Huisken, Francky Catthoor:
Ultra low energy Domain Specific Instruction-set Processor for on-line surveillance. SASP 2010: 30-35
2000 – 2009
- 2009
- [j156]Javed Absar, Praveen Raghavan, Andy Lambrechts, Min Li, Murali Jayapala, Francky Catthoor:
Locality optimization in a compiler for wireless applications. Des. Autom. Embed. Syst. 13(1-2): 53-72 (2009) - [j155]Ittetsu Taniguchi, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Yoshinori Takeuchi, Masaharu Imai:
Reconfigurable AGU: An Address Generation Unit Based on Address Calculation Pattern for Low Energy and High Performance Embedded Processors. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 92-A(4): 1161-1173 (2009) - [j154]Martin Palkovic, Henk Corporaal, Francky Catthoor:
Dealing with data dependent conditions to enable general global source code transformations. Int. J. Embed. Syst. 4(1): 27-39 (2009) - [j153]Michael Timmers, Sofie Pollin, Antoine Dejonghe, Ahmad Bahai, Liesbet Van der Perre, Francky Catthoor:
Accumulative Interference Modeling for Distributed Cognitive Radio Networks. J. Commun. 4(3): 175-185 (2009) - [j152]Alexandros Bartzas, Miguel Peón Quirós, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Direct memory access usage optimization in network applications for reduced memory latency and energy consumption. J. Embed. Comput. 3(3): 241-254 (2009) - [j151]Christos Baloukas, José Luis Risco-Martín, David Atienza, Christophe Poucet, Lazaros Papadopoulos, Stylianos Mamagkakis, Dimitrios Soudris, José Ignacio Hidalgo, Francky Catthoor, Juan Lanchares:
Optimization methodology of dynamic data structures based on genetic algorithms for multimedia embedded systems. J. Syst. Softw. 82(4): 590-602 (2009) - [j150]Stefan Cosemans, Wim Dehaene, Francky Catthoor:
A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM With 850 MHz Boost Mode in 90 nm CMOS With Tunable Sense Amplifiers. IEEE J. Solid State Circuits 44(7): 2065-2077 (2009) - [j149]Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest:
EMPIRE: Empirical power/area/timing models for register files. Microprocess. Microsystems 33(4): 295-300 (2009) - [j148]Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest:
Distributed Loop Controller for Multithreading in Unithreaded ILP Architectures. IEEE Trans. Computers 58(3): 311-321 (2009) - [j147]Xin Ji, Jianwei Huang, Mung Chiang, Gauthier Lafruit, Francky Catthoor:
Scheduling and Resource Allocation for SVC Streaming Over OFDM Downlink Systems. IEEE Trans. Circuits Syst. Video Technol. 19(10): 1549-1555 (2009) - [j146]Jiangbo Lu, Sammy Rogmans, Gauthier Lafruit, Francky Catthoor:
Stream-Centric Stereo Matching and View Synthesis: A High-Speed Approach on GPUs. IEEE Trans. Circuits Syst. Video Technol. 19(11): 1598-1611 (2009) - [j145]Stefan Valentin Gheorghita, Martin Palkovic, Juan Hamers, Arnout Vandecappelle, Stelios Mamagkakis, Twan Basten, Lieven Eeckhout, Henk Corporaal, Francky Catthoor, Frederik Vandeputte, Koen De Bosschere:
System-scenario-based design of dynamic embedded systems. ACM Trans. Design Autom. Electr. Syst. 14(1): 3:1-3:45 (2009) - [j144]Martin Palkovic, Francky Catthoor, Henk Corporaal:
Trade-offs in loop transformations. ACM Trans. Design Autom. Electr. Syst. 14(2): 22:1-22:30 (2009) - [j143]Praveen Raghavan, Murali Jayapala, Andy Lambrechts, Javed Absar, Francky Catthoor:
Playing the trade-off game: Architecture exploration using Coffeee. ACM Trans. Design Autom. Electr. Syst. 14(3): 36:1-36:37 (2009) - [j142]Bert Geelen, Vissarion Ferentinos, Francky Catthoor, Gauthier Lafruit, Diederik Verkest, Rudy Lauwereins, Thanos Stouraitis:
Spatial locality exploitation for runtime reordering of JPEG2000 wavelet data layouts. ACM Trans. Design Autom. Electr. Syst. 15(1): 8:1-8:6 (2009) - [j141]Min Li, David Novo, Bruno Bougard, Trevor E. Carlson, Liesbet Van der Perre, Francky Catthoor:
Generic Multiphase Software Pipelined Partial FFT on Instruction Level Parallel Architectures. IEEE Trans. Signal Process. 57(4): 1604-1615 (2009) - [j140]Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor:
Design and Synthesis of Pareto Buffers Offering Large Range Runtime Energy/Delay Tradeoffs Via Combined Buffer Size and Supply Voltage Tuning. IEEE Trans. Very Large Scale Integr. Syst. 17(1): 117-127 (2009) - [j139]Andy Lambrechts, Praveen Raghavan, Murali Jayapala, Bingfeng Mei, Francky Catthoor, Diederik Verkest:
Interconnect Exploration for Energy Versus Performance Tradeoffs for Coarse Grained Reconfigurable Architectures. IEEE Trans. Very Large Scale Integr. Syst. 17(1): 151-155 (2009) - [j138]Bert Geelen, Vissarion Ferentinos, Francky Catthoor, Spyridon Toulatos, Gauthier Lafruit, Thanos Stouraitis, Rudy Lauwereins, Diederik Verkest:
Exploiting Varying Resource Requirements in Wavelet-based Applications in Dynamic Execution Environments. J. Signal Process. Syst. 56(2-3): 125-139 (2009) - [j137]David Novo, Thomas Schuster, Bruno Bougard, Andy Lambrechts, Liesbet Van der Perre, Francky Catthoor:
Energy-performance Exploration of a CGA-based SDR Processor. J. Signal Process. Syst. 56(2-3): 273-284 (2009) - [j136]Min Li, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor:
Energy Aware Algorithm and Implementation of SDR Oriented HSDPA Chip Level Equalizer. J. Signal Process. Syst. 56(2-3): 327-340 (2009) - [c303]Concepción Sanz, Manuel Prieto, José Ignacio Gómez, Antonis Papanikolaou, Francky Catthoor:
System-level process variability compensation on memory organizations: on the scalability of multi-mode memories. ASP-DAC 2009: 254-259 - [c302]Ittetsu Taniguchi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai:
Systematic architecture exploration based on optimistic cycle estimation for low energy embedded processors. ASP-DAC 2009: 449-454 - [c301]Praveen Raghavan, Francky Catthoor:
SARA: StreAm register allocation. CODES+ISSS 2009: 41-50 - [c300]Martin Trautmann, Stylianos Mamagkakis, Bruno Bougard, Jeroen Declerck, Erik Umans, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
Simulation framework for early phase exploration of SDR platforms: A case study of platform dimensioning. DATE 2009: 312-315 - [c299]Marco Facchini, Trevor E. Carlson, Anselme Vignon, Martin Palkovic, Francky Catthoor, Wim Dehaene, Luca Benini, Paul Marchal:
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications. DATE 2009: 923-928 - [c298]David Novo, Min Li, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor:
Finite precision processing in wireless applications. DATE 2009: 1230-1233 - [c297]Min Li, Robert Fasthuber, David Novo, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor:
Algorithm-architecture co-design of soft-output ML MIMO detector for parallel application specific instruction set processors. DATE 2009: 1608-1613 - [c296]Nikolas Kroupis, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Dimitrios Soudris:
Compilation Technique for Loop Overhead Minimization. DSD 2009: 419-426 - [c295]Sven Verdoolaege, Martin Palkovic, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor:
Experience with widening based equivalence checking in realistic multimedia systems. HLDVT 2009: 122-129 - [c294]Jiangbo Lu, Ke Zhang, Gauthier Lafruit, Francky Catthoor:
Real-time stereo matching: A cross-based local approach. ICASSP 2009: 733-736 - [c293]Min Li, David Novo, Bruno Bougard, Claude Desset, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
A System Level Algorithmic Approach toward Energy-Aware SDR Baseband Implementations. ICC 2009: 1-6 - [c292]Michael Timmers, Sofie Pollin, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
A Spatial Learning Algorithm for IEEE 802.11 Networks. ICC 2009: 1-6 - [c291]Martin Palkovic, Praveen Raghavan, Thomas J. Ashby, Andy Folens, Hans Cappelle, Miguel Glassee, Liesbet Van der Perre, Francky Catthoor:
Parallelization exploration of wireless applications using MPA. PARCO 2009: 712-719 - [c290]Nikolaos Zompakis, Martin Trautmann, Alexandros Bartzas, Stylianos Mamagkakis, Dimitrios Soudris, Liesbet Van der Perre, Francky Catthoor:
Multi-granularity NoC Simulation Framework for Early Phase Exploration of SDR Hardware Platforms. PATMOS 2009: 165-174 - [c289]Narasinga Rao Miniskar, Elena Hammari, Satyakiran Munaga, Stylianos Mamagkakis, Per Gunnar Kjeldsberg, Francky Catthoor:
Scenario Based Mapping of Dynamic Applications on MPSoC: A 3D Graphics Case Study. SAMOS 2009: 48-57 - [c288]Robert Fasthuber, Min Li, David Novo, Praveen Raghavan, Liesbet Van der Perre, Francky Catthoor:
Novel energy-efficient scalable soft-output SSFE MIMO detector architectures. ICSAMOS 2009: 165-171 - [c287]Praveen Raghavan, Francky Catthoor:
Register file exploration for a multi-standard wireless forward error correction ASIP. SiPS 2009: 024-029 - [c286]David Novo, Robert Fasthuber, Praveen Raghavan, André Bourdoux, Min Li, Liesbet Van der Perre, Francky Catthoor:
Power-aware evaluation flowfor digital decimation filter architectures for high-speed ADCS. SiPS 2009: 151-156 - 2008
- [j135]Xin Ji, Sofie Pollin, Gauthier Lafruit, Iole Moccagatta, Antoine Dejonghe, Francky Catthoor:
Energy-Efficient Bandwidth Allocation for Multiuser Scalable Video Streaming over WLAN. EURASIP J. Wirel. Commun. Netw. 2008 (2008) - [j134]Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai:
Efficient Method to Generate an Energy Efficient Schedule Using Operation Shuffling. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 91-A(2): 604-612 (2008) - [j133]David Atienza, Praveen Raghavan, José Luis Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo:
Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures. Integr. 41(1): 38-48 (2008) - [j132]Edgar G. Daylight, Arnout Vandecappelle, Francky Catthoor:
The formalism underlying EASYMAP. Sci. Comput. Program. 72(3): 71-135 (2008) - [j131]Anthony Leroy, Dragomir Milojevic, Diederik Verkest, Frédéric Robert, Francky Catthoor:
Concepts and Implementation of Spatial Division Multiplexing for Guaranteed Throughput in Networks-on-Chip. IEEE Trans. Computers 57(9): 1182-1195 (2008) - [j130]Concepción Sanz, Manuel Prieto, José Ignacio Gómez, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor:
Combining system scenarios and configurable memories to tolerate unpredictability. ACM Trans. Design Autom. Electr. Syst. 13(3): 49:1-49:7 (2008) - [j129]Javier Resano, Juan Antonio Clemente, Carlos González, Daniel Mozos, Francky Catthoor:
Efficiently scheduling runtime reconfigurations. ACM Trans. Design Autom. Electr. Syst. 13(4): 58:1-58:12 (2008) - [j128]Sofie Pollin, Rahul Mangharam, Bruno Bougard, Liesbet Van der Perre, Ingrid Moerman, Ragunathan Rajkumar, Francky Catthoor:
MEERA: Cross-Layer Methodology for Energy Efficient Resource Allocation in Wireless Networks. IEEE Trans. Wirel. Commun. 7(1): 98-109 (2008) - [j127]Sofie Pollin, Mustafa Ergen, Sinem Coleri Ergen, Bruno Bougard, Liesbet Van der Perre, Ingrid Moerman, Ahmad Bahai, Pravin Varaiya, Francky Catthoor:
Performance Analysis of Slotted Carrier Sense IEEE 802.15.4 Medium Access Layer. IEEE Trans. Wirel. Commun. 7(9): 3359-3371 (2008) - [j126]Hua Wang, Francky Catthoor, Miguel Miranda, Wim Dehaene:
Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs. J. Signal Process. Syst. 52(2): 193-210 (2008) - [j125]Florin Balasa, Per Gunnar Kjeldsberg, Arnout Vandecappelle, Martin Palkovic, Qubo Hu, Hongwei Zhu, Francky Catthoor:
Storage Estimation and Design Space Exploration Methodologies for the Memory Management of Signal Processing Applications. J. Signal Process. Syst. 53(1-2): 51-71 (2008) - [j124]Guillermo Talavera, Murali Jayapala, Jordi Carrabina, Francky Catthoor:
Address Generation Optimization for Embedded High-Performance Processors: A Survey. J. Signal Process. Syst. 53(3): 271-284 (2008) - [j123]Per Gunnar Kjeldsberg, Francky Catthoor, Sven Verdoolaege, Martin Palkovic, Arnout Vandecappelle, Qubo Hu, Einar J. Aas:
Guidance of Loop Ordering for Reduced Memory Usage in Signal Processing Applications. J. Signal Process. Syst. 53(3): 301-321 (2008) - [c285]Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai:
Operation shuffling over cycle boundaries for low energy L0 clustering. ASAP 2008: 150-155 - [c284]Alexandros Bartzas, Miguel Peón Quirós, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Enabling run-time memory data transfer optimizations at the system level with automated extraction of embedded software metadata information. ASP-DAC 2008: 434-439 - [c283]Michael Timmers, Sofie Pollin, Antoine Dejonghe, Ahmad Bahai, Liesbet Van der Perre, Francky Catthoor:
Accumulative Interference Modeling for Cognitive Radios with Distributed Channel Access. CrownCom 2008: 1-7 - [c282]Min Li, Bruno Bougard, David Novo, Liesbet Van der Perre, Francky Catthoor:
How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach. DAC 2008: 345-346 - [c281]Min Li, Bruno Bougard, Weiyu Xu, David Novo, Liesbet Van der Perre, Francky Catthoor:
Optimizing Near-ML MIMO Detector for SDR Baseband on Parallel Programmable Architectures. DATE 2008: 444-449 - [c280]Min Li, David Novo, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor:
Generic Multi-Phase Software-Pipelined Partial-FFT on Instruction-Level-Parallel Architectures and SDR Baseband Applications. DATE 2008: 598-603 - [c279]David Novo, Bruno Bougard, Andy Lambrechts, Liesbet Van der Perre, Francky Catthoor:
Scenario-Based Fixed-point Data Format Refinement to Enable Energy-scalable Software Defined Radios. DATE 2008: 722-727 - [c278]Giacomo Paci, Axel Nackaerts, Francky Catthoor, Luca Benini, Paul Marchal:
How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design. DSD 2008: 550-557 - [c277]Stefan Cosemans, Wim Dehaene, Francky Catthoor:
A 3.6pJ/access 480MHz, 128Kbit on-Chip SRAM with 850MHz boost mode in 90nm CMOS with tunable sense amplifiers to cope with variability. ESSCIRC 2008: 278-281 - [c276]Min Li, Bruno Bougard, David Novo, Wim Van Thillo, Liesbet Van der Perre, Francky Catthoor:
Adaptive SSFE Near-ML MIMO Detector with Dynamic Search Range and 80-103Mbps Flexible Implementation. GLOBECOM 2008: 3292-3296 - [c275]Michael Timmers, Sofie Pollin, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
Throughput Modeling of Large-Scale 802.11 Networks. GLOBECOM 2008: 4771-4776 - [c274]Jin Guo, Antonis Papanikolaou, Michele Stucchi, Kristof Croes, Zsolt Tokei, Francky Catthoor:
A tool flow for predicting system level timing failures due to interconnect reliability degradation. ACM Great Lakes Symposium on VLSI 2008: 291-296 - [c273]Praveen Raghavan, Andy Lambrechts, Javed Absar, Murali Jayapala, Francky Catthoor, Diederik Verkest:
Coffee: COmpiler Framework for Energy-Aware Exploration. HiPEAC 2008: 193-208 - [c272]Bert Geelen, Aris Ferentinos, Francky Catthoor, Gauthier Lafruit, Diederik Verkest:
Spatial locality trade-offs of wavelet-based applications in dynamic execution environments. ICASSP 2008: 1461-1464 - [c271]Bruno Bougard, Min Li, David Novo, Liesbet Van der Perre, Francky Catthoor:
Bridging the energy gap in size, weight and power constrained software defined radio: Agile baseband processing as a key enabler. ICASSP 2008: 5384-5387 - [c270]Min Li, Bruno Bougard, Eduardo Lopez-Estraviz, André Bourdoux, David Novo, Liesbet Van der Perre, Francky Catthoor:
Selective Spanning with Fast Enumeration: A Near Maximum-Likelihood MIMO Detector Designed for Parallel Programmable Baseband Architectures. ICC 2008: 737-741 - [c269]Xin Ji, Jianwei Huang, Mung Chiang, Francky Catthoor:
Downlink OFDM Scheduling and Resource Allocation for Delay Constraint SVC Streaming. ICC 2008: 2512-2518 - [c268]Jiangbo Lu, Gauthier Lafruit, Francky Catthoor:
Anisotropic local high-confidence voting for accurate stereo correspondence. Image Processing: Algorithms and Systems 2008: 68120 - [c267]Frederik Naessens, Bruno Bougard, Siebert Bressinck, Lieven Hollevoet, Praveen Raghavan, Liesbet Van der Perre, Francky Catthoor:
A unified instruction set programmable architecture for multi-standard advanced forward error correction. SiPS 2008: 31-36 - [c266]Min Li, David Novo, Bruno Bougard, Frederik Naessens, Liesbet Van der Perre, Francky Catthoor:
An implementation friendly low complexity multiplierless LLR generator for soft MIMO sphere decoders. SiPS 2008: 118-123 - [c265]David Novo, Min Li, Bruno Bougard, Frederik Naessens, Liesbet Van der Perre, Francky Catthoor:
Application-driven adaptive fixed-point refinement for SDRs. SiPS 2008: 245-250 - [c264]Andy Lambrechts, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Diederik Verkest:
Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. VLSI Design 2008: 201-207 - [c263]Sofie Pollin, Mustafa Ergen, Sinem Coleri Ergen, Bruno Bougard, Francky Catthoor, Ahmad Bahai, Pravin Varaiya:
Performance Analysis of Slotted Carrier Sense IEEE 802.15.4 Acknowledged Uplink Transmissions. WCNC 2008: 1559-1564 - 2007
- [j122]Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene:
SmartMIMO: An Energy-Aware Adaptive MIMO-OFDM Radio Link Control for Next-Generation Wireless Local Area Networks. EURASIP J. Wirel. Commun. Netw. 2007 (2007) - [j121]Chantal Ykman-Couvreur, Vincent Nollet, Théodore Marescaux, Erik Brockmeyer, Francky Catthoor, Henk Corporaal:
Design-time application mapping and platform exploration for MP-SoC customised run-time management. IET Comput. Digit. Tech. 1(2): 120-128 (2007) - [j120]Elena Perez Ramo, Javier Resano, Daniel Mozos, Francky Catthoor:
Memory hierarchy for high-performance and energyaware reconfigurable systems. IET Comput. Digit. Tech. 1(5): 565-571 (2007) - [j119]José L. Ayala, Marisa López-Vallejo, David Atienza, Praveen Raghavan, Francky Catthoor, Diederik Verkest:
Energy-aware compilation and hardware design for VLIW embedded systems. Int. J. Embed. Syst. 3(1/2): 73-82 (2007) - [j118]Stylianos Mamagkakis, Alexandros Bartzas, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Systematic methodology for exploration of performance - Energy trade-offs in network applications using Dynamic Data Type refinement. J. Syst. Archit. 53(7): 417-436 (2007) - [j117]Marijn Temmerman, Edgar G. Daylight, Francky Catthoor, Serge Demeyer, Tom Dhaene:
Optimizing data structures at the modeling level in embedded multimedia. J. Syst. Archit. 53(8): 539-549 (2007) - [j116]Stefan Cosemans, Wim Dehaene, Francky Catthoor:
A Low-Power Embedded SRAM for Wireless Applications. IEEE J. Solid State Circuits 42(7): 1607-1617 (2007) - [j115]Antoine Dejonghe, Bruno Bougard, Sofie Pollin, Jan Craninckx, André Bourdoux, Liesbet Van der Perre, Francky Catthoor:
Green Reconfigurable Radio Systems. IEEE Signal Process. Mag. 24(3): 90-101 (2007) - [j114]Benny Thörnberg, Martin Palkovic, Qubo Hu, Leif Olsson, Per Gunnar Kjeldsberg, Mattias O'Nils, Francky Catthoor:
Bit-Width Constrained Memory Hierarchy Optimization for Real-Time Video Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4): 781-800 (2007) - [j113]Peter Vanbroekhoven, Gerda Janssens, Maurice Bruynooghe, Francky Catthoor:
A practical dynamic single assignment transformation. ACM Trans. Design Autom. Electr. Syst. 12(4): 40 (2007) - [j112]Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai:
Methodology for operation shuffling and L0 cluster generation for low energy heterogeneous VLIW processors. ACM Trans. Design Autom. Electr. Syst. 12(4): 41 (2007) - [j111]Qubo Hu, Per Gunnar Kjeldsberg, Arnout Vandecappelle, Martin Palkovic, Francky Catthoor:
Incremental hierarchical memory size estimation for steering of loop transformations. ACM Trans. Design Autom. Electr. Syst. 12(4): 50 (2007) - [j110]Jin Guo, Antonis Papanikolaou, H. Zhang, Francky Catthoor:
Energy/Area/Delay Tradeoffs in the Physical Design of On-Chip Segmented Bus Architecture. IEEE Trans. Very Large Scale Integr. Syst. 15(8): 941-944 (2007) - [j109]Sofie Pollin, Rahul Mangharam, Bruno Bougard, Liesbet Van der Perre, Ingrid Moerman, Ragunathan Rajkumar, Francky Catthoor:
MEERA: cross-layer methodology for energy efficient resource allocation in wireless networks. IEEE Trans. Wirel. Commun. 6(2): 617-628 (2007) - [c262]Praveen Raghavan, Satyakiran Munaga, Estela Rey Ramos, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest:
A Customized Cross-Bar for Data-Shuffling in Domain-Specific SIMD Processors. ARCS 2007: 57-68 - [c261]Jin Guo, Antonis Papanikolaou, Francky Catthoor:
Topology exploration for energy efficient intra-tile communication. ASP-DAC 2007: 178-183 - [c260]Antonis Papanikolaou, Miguel Miranda, Pol Marchal, Bart Dierickx, Francky Catthoor:
At Tape-out: Can System Yield in Terms of Timing/Energy Specifications Be Predicted? CICC 2007: 773-778 - [c259]Javed Absar, Min Li, Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Arnout Vandecappelle, Francky Catthoor:
Locality optimization in wireless applications. CODES+ISSS 2007: 125-130 - [c258]Michael Timmers, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor:
A Distributed Multichannel MAC Protocol for Cognitive Radio Networks with Primary User Recognition. CrownCom 2007: 216-223 - [c257]Qubo Hu, Arnout Vandecappelle, Per Gunnar Kjeldsberg, Francky Catthoor, Martin Palkovic:
Fast memory footprint estimation based on maximal dependency vector calculation. DATE 2007: 379-384 - [c256]Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor:
Middleware design optimization of wireless protocols based on the exploitation of dynamic input patterns. DATE 2007: 1036-1041 - [c255]Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal:
Very wide register: an asymmetric register file organization for low power embedded processors. DATE 2007: 1066-1071 - [c254]Elena Perez Ramo, Javier Resano, Daniel Mozos, Francky Catthoor:
Reducing the reconfiguration overhead: a survey of techniques. ERSA 2007: 191-194 - [c253]Zhe Ma, Daniele Paolo Scarpazza, Francky Catthoor:
Run-time Task Overlapping on Multiprocessor Platforms. ESTIMedia 2007: 47-52 - [c252]Vissarion Ferentinos, Bert Geelen, Francky Catthoor, Gauthier Lafruit, Thanos Stouraitis, Rudy Lauwereins, Diederik Verkest:
Adaptive mapping to resource availability for dynamic wavelet-based applications. ESTIMedia 2007: 53-58 - [c251]Xin Ji, Sofie Pollin, Gauthier Lafruit, Iole Moccagatta, Antoine Dejonghe, Francky Catthoor:
Network-adaptive and energy-efficient multi-user video communication over QOS enabled WLAN. EUSIPCO 2007: 580-585 - [c250]Min Li, Bruno Bougard, Eduardo Lopez-Estraviz, André Bourdoux, Liesbet Van der Perre, Francky Catthoor:
The Quality-Energy Scalable OFDMA Modulation for Low Power Transmitter and VLIW Processor Based Implementation. GLOBECOM 2007: 2894-2898 - [c249]Min (Leon) Li, Bruno Bougard, Javed Absar, François Horlin, Liesbet Van der Perre, Francky Catthoor:
Efficient QRD for SRI-RLS Based Equalization on Programmable Architecture. ICASSP (2) 2007: 5-8 - [c248]Jiangbo Lu, Gauthier Lafruit, Francky Catthoor:
Fast Reliable Multi-Scale Motion Region Detection in Video Processing. ICASSP (1) 2007: 689-692 - [c247]Xin Ji, Sofie Pollin, Gauthier Lafruit, Iole Moccagatta, Antoine Dejonghe, Francky Catthoor:
Energy-Efficient Bandwidth Allocation for Multi-User Video Streaming Over Wlan. ICASSP (2) 2007: 817-820 - [c246]Jiangbo Lu, Gauthier Lafruit, Francky Catthoor:
Fast Variable Center-Biased Windowing for High-Speed Stereo on Programmable Graphics Hardware. ICIP (6) 2007: 568-571 - [c245]Jiangbo Lu, Sammy Rogmans, Gauthier Lafruit, Francky Catthoor:
Real-Time Stereo Correspondence using a Truncated Separable Laplacian Kernel Approximation on Graphics Hardware. ICME 2007: 1946-1949 - [c244]Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor:
Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. IOLTS 2007: 121 - [c243]Praveen Raghavan, José L. Ayala, David Atienza, Francky Catthoor, Giovanni De Micheli, Marisa López-Vallejo:
Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors. ISCAS 2007: 121-124 - [c242]Liesbet Van der Perre, Bruno Bougard, Jan Craninckx, Wim Dehaene, Lieven Hollevoet, Murali Jayapala, Pol Marchal, Miguel Miranda, Praveen Raghavan, Thomas Schuster, Piet Wambacq, Francky Catthoor, Peter Vanbekbergen:
Architectures and Circuits for Software-Defined Radios: Scaling and Scalability for Low Cost and Low Energy. ISSCC 2007: 568-569 - [c241]Kris Heyrman, Antonis Papanikolaou, Francky Catthoor, Peter Veelaert, Wilfried Philips:
Using a Linear Sectioned Bus And a Communication Processor to Reduce Energy Costs in Synchronous On-Chip Communication. SoC 2007: 1-4 - [c240]Martin Palkovic, Henk Corporaal, Francky Catthoor:
Heuristics for Scenario Creation to Enable General Loop Transformations. SoC 2007: 1-4 - [c239]Lazaros Papadopoulos, Stylianos Mamagkakis, Francky Catthoor, Dimitrios Soudris:
Application - specific NoC platform design based on System Level Optimization. ISVLSI 2007: 311-316 - [c238]Jiangbo Lu, Sammy Rogmans, Gauthier Lafruit, Francky Catthoor:
High-Speed Stream-Centric Dense Stereo and View Synthesis on Graphics Hardware. MMSP 2007: 243-246 - [c237]Miguel Peón Quirós, Alexandros Bartzas, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Direct Memory Access Optimization in Wireless Terminals for Reduced Memory Latency and Energy Consumption. PATMOS 2007: 373-383 - [c236]Praveen Raghavan, Nandhavel Sethubalasubramanian, Satyakiran Munaga, Estela Rey Ramos, Murali Jayapala, Oliver Weiss, Francky Catthoor, Diederik Verkest:
Semi Custom Design: A Case Study on SIMD Shufflers. PATMOS 2007: 433-442 - [c235]Thomas Schuster, Bruno Bougard, Praveen Raghavan, Robert Priewasser, David Novo, Liesbet Van der Perre, Francky Catthoor:
Design of a Low Power Pre-synchronization ASIP for Multimode SDR Terminals. SAMOS 2007: 322-332 - [c234]David Atienza, Christos Baloukas, Lazaros Papadopoulos, Christophe Poucet, Stylianos Mamagkakis, José Ignacio Hidalgo, Francky Catthoor, Dimitrios Soudris, Juan Lanchares:
Optimization of dynamic data structures in multimedia embedded systems using evolutionary computation. SCOPES 2007: 31-40 - [c233]Christophe Poucet, Stylianos Mamagkakis, David Atienza, Francky Catthoor:
Systematic intermediate sequence removal for reduced memory accesses. SCOPES 2007: 51-60 - [c232]Min Li, Bruno Bougard, David Novo, Liesbet Van der Perre, Francky Catthoor:
A Wavelet-FFT Based Efficient Sparse OFDMA Demodulator and Its Implementation on VLIW Architecture. SiPS 2007: 7-12 - [c231]Min Li, Javed Absar, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor:
Systematic Optimization of Programmable QRD Implementation for Multiple Application Scenarios. SiPS 2007: 19-24 - [i4]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. CoRR abs/0710.4656 (2007) - [i3]K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens:
Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code. CoRR abs/0710.4689 (2007) - [i2]Bruno Bougard, Francky Catthoor, Denis C. Daly, Anantha P. Chandrakasan, Wim Dehaene:
Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. CoRR abs/0710.4732 (2007) - [i1]Javier Resano, Daniel Mozos, Francky Catthoor:
A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware. CoRR abs/0710.4796 (2007) - 2006
- [j108]Stylianos Mamagkakis, Christos Baloukas, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Reducing memory fragmentation in network applications with dynamic memory allocators optimized for performance. Comput. Commun. 29(13-14): 2612-2620 (2006) - [j107]David Atienza, Stylianos Mamagkakis, Francesco Poletti, Jose Manuel Mendias, Francky Catthoor, Luca Benini, Dimitrios Soudris:
Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems. Integr. 39(2): 113-130 (2006) - [j106]Martin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor:
Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. J. Low Power Electron. 2(1): 9-1 (2006) - [j105]Bruno Bougard, Sofie Pollin, Antoine Dejonghe, Francky Catthoor, Wim Dehaene:
Cross-layer power management in wireless networks and consequences on system-level architecture. Signal Process. 86(8): 1792-1803 (2006) - [j104]Nicolaas Tack, Gauthier Lafruit, Francky Catthoor, Rudy Lauwereins:
Eliminating CPU overhead for on-the-fly content adaptation with MPEG-4 wavelet subdivision surfaces. IEEE Trans. Consumer Electron. 52(2): 559-565 (2006) - [j103]Javed Absar, Francky Catthoor:
Reuse analysis of indirectly indexed arrays. ACM Trans. Design Autom. Electr. Syst. 11(2): 282-305 (2006) - [j102]David Atienza, Jose Manuel Mendias, Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor:
Systematic dynamic memory management design methodology for reduced memory footprint. ACM Trans. Design Autom. Electr. Syst. 11(2): 465-489 (2006) - [j101]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis:
A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. IEEE Trans. Very Large Scale Integr. Syst. 14(3): 279-291 (2006) - [j100]Klaas Tack, Gauthier Lafruit, Francky Catthoor, Rudy Lauwereins:
Platform independent optimisation of multi-resolution 3D content to enable universal media access. Vis. Comput. 22(8): 577-590 (2006) - [c230]Kris Heyrman, Antonis Papanikolaou, Francky Catthoor, Peter Veelaert, Koen De Bosschere, Wilfried Philips:
Energy Consumption for Transport of Control Information on a Segmented Software-Controlled Communication Architecture. ARC 2006: 52-58 - [c229]Florin Balasa, Per Gunnar Kjeldsberg, Martin Palkovic, Arnout Vandecappelle, Francky Catthoor:
Loop Transformation Methodologies for Array-Oriented Memory Management. ASAP 2006: 205-212 - [c228]Antoni Portero, Guillermo Talavera, Marius Monton, Borja Martínez, Francky Catthoor, Jordi Carrabina:
Dynamic Voltage Scaling for Power Efficient MPEG4-SP Implementation. ASAP 2006: 257-260 - [c227]Jin Guo, Antonis Papanikolaou, Pol Marchal, Francky Catthoor:
Physical design implementation of segmented buses to reduce communication energy. ASP-DAC 2006: 42-47 - [c226]Qubo Hu, Arnout Vandecappelle, Martin Palkovic, Per Gunnar Kjeldsberg, Erik Brockmeyer, Francky Catthoor:
Hierarchical memory size estimation for loop fusion and loop shifting in data-dominated applications. ASP-DAC 2006: 606-611 - [c225]Javed Absar, Francky Catthoor:
Analysis of scratch-pad and data-cache performance using statistical methods. ASP-DAC 2006: 820-825 - [c224]Antonis Papanikolaou, T. Grabner, Miguel Miranda, Philippe Roussel, Francky Catthoor:
Yield prediction for architecture exploration in nanometer technology nodes: : a model and case study for memory organizations. CODES+ISSS 2006: 253-258 - [c223]Sofie Pollin, Mustafa Ergen, Michael Timmers, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, Ingrid Moerman, Ahmad Bahai:
Distributed cognitive coexistence of 802.15.4 with 802.11. CrownCom 2006: 1-5 - [c222]Björn Debaillie, Bruno Bougard, Gregory Lenoir, Gerd Vandersteen, Francky Catthoor:
Energy-scalable OFDM transmitter design and control. DAC 2006: 536-541 - [c221]Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest:
Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors. DATE 2006: 339-344 - [c220]Alexandros Bartzas, Stylianos Mamagkakis, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications. DATE 2006: 740-745 - [c219]Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems. DATE 2006: 874-875 - [c218]Zhe Ma, Francky Catthoor:
Scalable performance-energy trade-off exploration of embedded real-time systems on multiprocessor platforms. DATE 2006: 1073-1078 - [c217]Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris:
Energy-efficient dynamic memory allocators at the middleware level of embedded systems. EMSOFT 2006: 215-222 - [c216]Min (Leon) Li, Bruno Bougard, François Horlin, Marc Engels, Liesbet Van der Perre, Francky Catthoor:
Quality-Energy Scalable Chip Level Equalization for HSDPA. GLOBECOM 2006 - [c215]Sofie Pollin, Mustafa Ergen, Sinem Coleri Ergen, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor, Ingrid Moerman, Ahmad Bahai, Pravin Varaiya:
Performance Analysis of Slotted Carrier Sense IEEE 802.15.4 Medium Access Layer. GLOBECOM 2006 - [c214]Christophe Poucet, David Atienza, Francky Catthoor:
Template-Based Semi-Automatic Profiling of Multimedia Applications. ICME 2006: 1061-1064 - [c213]Elena Perez Ramo, Javier Resano, Daniel Mozos, Francky Catthoor:
A configuration memory hierarchy for fast reconfiguration with reduced energy consumption overhead. IPDPS 2006 - [c212]Alexandros Bartzas, Miguel Peón Quirós, Stylianos Mamagkakis, David Atienza, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias:
Systematic design flow for dynamic data management in visual texture decoder of MPEG-4. ISCAS 2006 - [c211]Concepción Sanz, Manuel Prieto, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor:
System-level process variability compensation on memory organizations of dynamic applications: a case study. ISQED 2006: 376-382 - [c210]Chantal Ykman-Couvreur, Vincent Nollet, Francky Catthoor, Henk Corporaal:
Fast Multi-Dimension Multi-Choice Knapsack Heuristic for MP-SoC Run-Time Management. SoC 2006: 1-4 - [c209]Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene:
On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. MTDT 2006: 71-76 - [c208]Rainer Schaffer, Renate Merker, Francky Catthoor:
Derivation of Packing Instructions for Exploiting Sub-Word Parallelism. PARELEC 2006: 167-172 - [c207]Daniele Paolo Scarpazza, Praveen Raghavan, David Novo, Francky Catthoor, Diederik Verkest:
Software Simultaneous Multi-Threading, a Technique to Exploit Task-Level Parallelism to Improve Instruction- and Data-Level Parallelism. PATMOS 2006: 12-23 - [c206]David Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo:
Compiler-Driven Leakage Energy Reduction in Banked Register Files. PATMOS 2006: 107-116 - [c205]Jiangbo Lu, Gauthier Lafruit, Francky Catthoor:
Streaming-Mode MB-Based Integral Image Techniques for Fast Multi-view Video Illumination Compensation. PCM 2006: 414-423 - [c204]Min (Leon) Li, Tanja Van Achteren, Erik Brockmeyer, Francky Catthoor:
Statistical Performance Analysis and Estimation of Coarse Grain Parallel Multimedia Processing System. IEEE Real Time Technology and Applications Symposium 2006: 277-288 - [c203]Chantal Ykman-Couvreur, Vincent Nollet, Théodore Marescaux, Erik Brockmeyer, Francky Catthoor, Henk Corporaal:
Pareto-Based Application Specification for MP-SoC Customized Run-Time Management. ICSAMOS 2006: 78-84 - [c202]Min Li, Bruno Bougard, Francky Catthoor:
Exploit Multiple-Domain Sparseness for HSDPA Chip Level Equalization in SDR: Algorithm and DSP Implementation. SiPS 2006: 16-21 - [c201]Tom Vander Aa, Murali Jayapala, Henk Corporaal, Francky Catthoor, Geert Deconinck:
Instruction Transfer And Storage Exploration for Low Energy VLIWs. SiPS 2006: 292-297 - [c200]Bert Geelen, Aris Ferentinos, Francky Catthoor, Arnout Vandecappelle, Gauthier Lafruit, Thanos Stouraitis, Rudy Lauwereins, Diederik Verkest:
Software-Controlled Scratchpad Mapping Strategies for Wavelet-Based Applications. SiPS 2006: 362-367 - [c199]Bruno Bougard, Gregory Lenoir, Antoine Dejonghe, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene:
SmartMIMO: Energy-Aware Adaptive MIMO-OFDM Radio Link Control for Wireless Local Area Networks. SiPS 2006: 399-404 - [c198]Jin Guo, Antonis Papanikolaou, Pol Marchal, Francky Catthoor:
Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture. SLIP 2006: 75-81 - [c197]Antoni Portero, Guillermo Talavera, Marius Monton, Borja Martínez, Marc Moreno, Francky Catthoor, Jordi Carrabina:
Energy-Aware MPEG-4 Single Profile in HW-SW Multi-Platform Implementation. SoCC 2006: 13-16 - [c196]Antonis Papanikolaou, Hua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene:
Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design. VLSI-SoC (Selected Papers) 2006: 119-141 - [c195]Antonis Papanikolaou, Miguel Miranda, Hua Wang, Francky Catthoor, M. Satyakiran, Pol Marchal, Ben Kaczer, C. Bruynseraede, Zsolt Tokei:
Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. VLSI-SoC 2006: 342-347 - 2005
- [j99]Javier Resano, Daniel Mozos, Diederik Verkest, Francky Catthoor:
A Reconfiguration Manager for Dynamically Reconfigurable Hardware. IEEE Des. Test Comput. 22(5): 452-460 (2005) - [j98]Sofie Pollin, Bruno Bougard, Rahul Mangharam, Francky Catthoor, Ingrid Moerman, Ragunathan Rajkumar, Liesbet Van der Perre:
Optimizing Transmission and Shutdown for Energy-Efficient Real-time Packet Scheduling in Clustered Ad Hoc Networks. EURASIP J. Wirel. Commun. Netw. 2005(5): 698-711 (2005) - [j97]Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Henk Corporaal, Francky Catthoor:
Instruction buffering exploration for low energy embedded processors. J. Embed. Comput. 1(3): 341-351 (2005) - [j96]Vissarion Ferentinos, Bert Geelen, Gauthier Lafruit, M. Milia, Jan Bormans, Francky Catthoor, Thanos Stouraitis:
Optimized memory requirements for wavelet-based scalable multimedia codecs. J. Embed. Comput. 1(3): 363-380 (2005) - [j95]Chantal Ykman-Couvreur, Francky Catthoor, Johan Vounckx, Andy Folens, Filip Louagie:
Energy-aware Dynamic Task Scheduling Applied to a Real-time Multimedia Application on an Xscale Board. J. Low Power Electron. 1(3): 226-237 (2005) - [j94]Zhe Ma, Chun Wong, Peng Yang, Johan Vounckx, Francky Catthoor:
Mapping the MPEG-4 visual texture decoder: a system-level design technique based on heterogeneous platforms. IEEE Signal Process. Mag. 22(3): 65-74 (2005) - [j93]Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man:
Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications. IEEE Trans. Computers 54(1): 76-81 (2005) - [j92]Murali Jayapala, Francisco Barat, Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck:
Clustered Loop Buffer Organization for Low Energy VLIW Embedded Processors. IEEE Trans. Computers 54(6): 672-683 (2005) - [j91]Hua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, Wim Dehaene:
Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1127-1135 (2005) - [j90]Bruno Bougard, M. Rullmann, Erik Brockmeyer, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene:
Energy Efficient Memory Architecture for High Speed Decoding of Block Turbo-Codes with the Fang-Buda Algorithm. J. VLSI Signal Process. 39(1-2): 79-92 (2005) - [j89]Marc Leeman, David Atienza, Geert Deconinck, Vincenzo De Florio, José M. Mendías, Chantal Ykman-Couvreur, Francky Catthoor, Rudy Lauwereins:
Methodology for Refinement and Optimisation of Dynamic Memory Management for Embedded Systems in Multimedia Applications. J. VLSI Signal Process. 40(3): 383-396 (2005) - [c194]Peter Vanbroekhoven, Gerda Janssens, Maurice Bruynooghe, Francky Catthoor:
Transformation to Dynamic Single Assignment Using a Simple Data Flow Analysis. APLAS 2005: 330-346 - [c193]Andy Lambrechts, Praveen Raghavan, Anthony Leroy, Guillermo Talavera, Tom Vander Aa, Murali Jayapala, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina:
Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application. ASAP 2005: 179-184 - [c192]Zhe Ma, Francky Catthoor, Johan Vounckx:
Hierarchical task scheduler for interleaving subtasks on heterogeneous multiprocessor platforms. ASP-DAC 2005: 952-955 - [c191]Sven Verdoolaege, Kristof Beyls, Maurice Bruynooghe, Francky Catthoor:
Experiences with Enumeration of Integer Projections of Parametric Polytopes. CC 2005: 91-105 - [c190]K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens:
Verification of Source Code Transformations by Program Equivalence Checking. CC 2005: 221-236 - [c189]Anthony Leroy, Paul Marchal, Adelina Shickova, Francky Catthoor, Frédéric Robert, Diederik Verkest:
Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs. CODES+ISSS 2005: 81-86 - [c188]Antonis Papanikolaou, F. Lobmaier, Hua Wang, Miguel Miranda, Francky Catthoor:
A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications. CODES+ISSS 2005: 117-122 - [c187]Christian Tenllado, Luis Piñuel, Manuel Prieto, Francisco Tirado, Francky Catthoor:
Improving superword level parallelism support in modern compilers. CODES+ISSS 2005: 303-308 - [c186]Wolfgang Eberle, Bruno Bougard, Sofie Pollin, Francky Catthoor:
From myth to methodology: cross-layer design for energy-efficient wireless communication. DAC 2005: 303-308 - [c185]Javier Resano, Daniel Mozos, Francky Catthoor:
A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware. DATE 2005: 106-111 - [c184]Bruno Bougard, Francky Catthoor, Denis C. Daly, Anantha P. Chandrakasan, Wim Dehaene:
Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. DATE 2005: 196-201 - [c183]Nicolas Genko, David Atienza, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida, Francky Catthoor:
A Complete Network-On-Chip Emulation Framework. DATE 2005: 246-251 - [c182]Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex:
Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. DATE 2005: 914-919 - [c181]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck. DATE 2005: 946-947 - [c180]Mohammed Javed Absar, Francky Catthoor:
Compiler-Based Approach for Exploiting Scratch-Pad in Presence of Irregular Array Access. DATE 2005: 1162-1167 - [c179]K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens:
Functional Equivalence Checking for Verification of Algebraic Transformations on Array-Intensive Source Code. DATE 2005: 1310-1315 - [c178]Mohammed Javed Absar, Pol Marchal, Francky Catthoor:
Data-Access Optimization of Embedded Systems Through Selective Inlining Transformation. ESTIMedia 2005: 75-80 - [c177]Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai:
Operation Shuffling for Low Energy L0 Cluster Generation on Heterogeneous VLIW Processors. ESTIMedia 2005: 81-86 - [c176]Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck:
Combining Data and Instruction Memory Energy Optimizations for Embedded Applications. ESTIMedia 2005: 121-126 - [c175]Sofie Pollin, Bruno Bougard, Rahul Mangharam, Liesbet Van der Perre, Francky Catthoor, Ragunathan Rajkumar, Ingrid Moerman:
Optimizing transmission and shutdown for energy-efficient packet scheduling in sensor networks. EWSN 2005: 290-301 - [c174]Sofie Pollin, Ali Motamedi, Ahmad Bahai, Francky Catthoor, Liesbet Van der Perre:
Delay improvement of IEEE 802.11 distributed coordination function using size-based scheduling. ICC 2005: 3484-3488 - [c173]Richard Stahl, Francky Catthoor, Diederik Verkest:
Object-Distribution Analysis: Technique for Parallel Loop Distribution of Object-Oriented Programs. ICPP Workshops 2005: 153-160 - [c172]Rahul Mangharam, Ragunathan Rajkumar, Sofie Pollin, Francky Catthoor, Bruno Bougard, Liesbet Van der Perre, Ingrid Moerman:
Optimal fixed and scalable energy management for wireless networks. INFOCOM 2005: 114-125 - [c171]Richard Stahl, Francky Catthoor, Diederik Verkest:
Object-Distribution Analysis for Program Decomposition and Re-Clustering. IPDPS 2005 - [c170]Nicolas Genko, David Atienza, Giovanni De Micheli, Luca Benini, Jose Manuel Mendias, Román Hermida, Francky Catthoor:
A novel approach for network on chip emulation. ISCAS (3) 2005: 2365-2368 - [c169]Chantal Ykman-Couvreur, Erik Brockmeyer, Vincent Nollet, Théodore Marescaux, Francky Catthoor, Henk Corporaal:
Design-Time Application Exploration for MP-SoC Customized Run-Time Management. SoC 2005: 66-69 - [c168]Antonis Papanikolaou, F. Starzer, Miguel Miranda, Koenraad De Bosschere, Francky Catthoor:
Architectural and Physical Design Optimizations for Efficient Intra-tile Communication. SoC 2005: 112-115 - [c167]José Manuel Velasco, David Atienza, Katzalin Olcoz, Francky Catthoor:
Performance Evaluation of Barrier Techniques for Distributed Tracing Garbage Collectors. PARCO 2005: 549-556 - [c166]Christian Tenllado, Luis Piñuel, Manuel Prieto, Francky Catthoor:
Pack Transposition: Enhancing Superword Level Parallelism Exploitation. PARCO 2005: 573-580 - [c165]José Manuel Velasco, David Atienza, Katzalin Olcoz, Francky Catthoor, Francisco Tirado, Jose Manuel Mendias:
Energy Characterization of Garbage Collectors for Dynamic Applications on Embedded Systems. PATMOS 2005: 69-78 - [c164]Martin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor:
Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. PATMOS 2005: 89-98 - [c163]Minas Dasygenis, Erik Brockmeyer, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Improving the Memory Bandwidth Utilization Using Loop Transformations. PATMOS 2005: 117-126 - [c162]Marijn Temmerman, Edgar G. Daylight, Francky Catthoor, Serge Demeyer, Tom Dhaene:
Moving Up to the Modeling Level for the Transformation of Data Structures in Embedded Multimedia Applications. SAMOS 2005: 445-454 - [c161]Martin Palkovic, Henk Corporaal, Francky Catthoor:
Global Memory Optimisation for Embedded Systems Allowed by Code Duplication. SCOPES 2005: 72-79 - [c160]Nicolaas Tack, Gauthier Lafruit, Francky Catthoor, Rudy Lauwereins:
Pareto based optimization of multi-resolution geometry for real time rendering. Web3D 2005: 19-27 - [c159]Stylianos Mamagkakis, Christos Baloukas, David Atienza, Francky Catthoor, Dimitrios Soudris, José M. Mendías, Antonios Thanailakis:
Reducing Memory Fragmentation with Performance-Optimized Dynamic Memory Allocators in Network Applications. WWIC 2005: 354-364 - 2004
- [j88]Athanasios Milidonis, Gregory Dimitroulakos, Michalis D. Galanis, Athanasios P. Kakarountas, George Theodoridis, Constantinos E. Goutis, Francky Catthoor:
A Framework for Data Partitioning for C++ Data-Intensive Applications. Des. Autom. Embed. Syst. 9(2): 101-121 (2004) - [j87]Paul Marchal, Francky Catthoor, Davide Bruni, Luca Benini, José Ignacio Gómez, Luis Piñuel:
Integrated Task Scheduling and Data Assignment for SDRAMs in Dynamic Applications. IEEE Des. Test Comput. 21(5): 378-387 (2004) - [j86]Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor:
A hybrid design-time/run-time scheduling flow to minimise the reconfiguration overhead of FPGAs. Microprocess. Microsystems 28(5-6): 291-301 (2004) - [j85]Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas:
Storage requirement estimation for optimized design of data intensive applications. ACM Trans. Design Autom. Electr. Syst. 9(2): 133-158 (2004) - [j84]Edgar G. Daylight, David Atienza, Arnout Vandecappelle, Francky Catthoor, José M. Mendías:
Memory-access-aware data structure transformations for embedded software with dynamic data accesses. IEEE Trans. Very Large Scale Integr. Syst. 12(3): 269-280 (2004) - [j83]Kostas Masselos, Francky Catthoor, Constantinos E. Goutis, Hugo De Man:
Combined Application of Data Transfer and Storage Optimizing Transformations and Subword Parallelism Exploitation for Power Consumption and Execution Time Reduction in VLIW Multimedia Processors. J. VLSI Signal Process. 37(1): 53-73 (2004) - [c158]José Manuel Velasco, David Atienza, Francky Catthoor, Francisco Tirado, Katzalin Olcoz, Jose Manuel Mendias:
Garbage Collector Refinement for New Dynamic Multimedia Applications on Embedded Systems. Interaction between Compilers and Computer Architectures 2004: 25-32 - [c157]José Ignacio Gómez, Paul Marchal, Sven Verdoolaege, Luis Piñuel, Francky Catthoor:
Optimizing the Memory Bandwidth with Loop Morphing. ASAP 2004: 213-223 - [c156]Hua Wang, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor:
A global bus power optimization methodology for physical design of memory dominated systems by coupling bus segmentation and activity driven block placement. ASP-DAC 2004: 759-761 - [c155]Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Francky Catthoor, Henk Corporaal:
Instruction buffering exploration for low energy VLIWs with instruction clusters. ASP-DAC 2004: 824-829 - [c154]Antonis Papanikolaou, Miguel Miranda, Francky Catthoor:
Overcoming the "Memory Wall" by improved system design exploration and a link to process technology options. Conf. Computing Frontiers 2004: 202-211 - [c153]Paul Marchal, José Ignacio Gómez, Francky Catthoor:
Optimizing the memory bandwidth with loop fusion. CODES+ISSS 2004: 188-193 - [c152]Francesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias:
An integrated hardware/software approach for run-time scratchpad management. DAC 2004: 238-243 - [c151]Lieven Hollevoet, Andy Dewilde, Kristof Denolf, Francky Catthoor, Filip Louagie:
A Power Optimized Display Memory Organization for Handheld User Terminal. DATE 2004: 294-299 - [c150]Radoslaw Szymanek, Francky Catthoor, Krzysztof Kuchcinski:
Time-Energy Design Space Exploration for Multi-Layer Memory Architectures. DATE 2004: 318-323 - [c149]Francky Catthoor, Andrea Cuomo, Grant Martin, Patrick Groeneveld, Rudy Lauwereins, Karen Maex, Patrick van de Steeg, Ron Wilson:
How Can System-Level Design Solve the Interconnect Technology Scaling Problem? DATE 2004: 332-339 - [c148]David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications. DATE 2004: 532-537 - [c147]Francky Catthoor:
Low energy data and concurrency management of highly dynamic real-time multi-media systems. ESTIMedia 2004: 4 - [c146]Radoslaw Szymanek, Francky Catthoor, Krzysztof Kuchcinski:
Data assignment and access scheduling exploration for multi-layer memory architectures. ESTIMedia 2004: 61-66 - [c145]David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Reducing memory accesses with a system-level design methodology in customized dynamic memory management. ESTIMedia 2004: 93-98 - [c144]Richard Stahl, Francky Catthoor, Rudy Lauwereins, Diederik Verkest:
Design-Time Data-Access Analysis for Parallel Java Programs with Shared-Memory Communication Model. Euro-Par 2004: 206-213 - [c143]Richard Stahl, Robert Pasko, Francky Catthoor, Rudy Lauwereins, Diederik Verkest:
High-Level Data-Access Analysis for Characterisation of (Sub)task-Level Parallelism in Java. HIPS 2004: 31-40 - [c142]David Atienza, Marc Leeman, Francky Catthoor, Geert Deconinck, Jose Manuel Mendias, Vincenzo De Florio, Rudy Lauwereins:
Fast prototyping and refinement of complex dynamic data types in multimedia applications for consumer embedded devices. ICME 2004: 803-806 - [c141]Murali Jayapala, Tom Vander Aa, Francisco Barat, Francky Catthoor, Henk Corporaal, Geert Deconinck:
L0 Cluster Synthesis and Operation Shuffling. PATMOS 2004: 311-321 - [c140]David Atienza, Stylianos Mamagkakis, Francky Catthoor, Jose Manuel Mendias, Dimitrios Soudris:
Modular Construction and Power Modelling of Dynamic Memory Managers for Embedded Systems. PATMOS 2004: 510-520 - [c139]Andy Lambrechts, Tom Vander Aa, Murali Jayapala, Guillermo Talavera, Anthony Leroy, Adelina Shickova, Francisco Barat, Bingfeng Mei, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina Bordoll:
Design Style Case Study for Embedded Multi Media Compute Nodes. RTSS 2004: 104-113 - [c138]Murali Jayapala, Tom Vander Aa, Francisco Barat, Geert Deconinck, Francky Catthoor, Henk Corporaal:
L0 buffer energy optimization through scheduling and exploration. SAC 2004: 905-906 - [c137]Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Antonios Thanailakis:
Power, Performance and Area Exploration for Data Memory Assignment of Multimedia Applications. SAMOS 2004: 540-549 - [c136]Athanasios Milidonis, Grigoris Dimitroulakos, Michalis D. Galanis, George Theodoridis, Constantinos E. Goutis, Francky Catthoor:
An Automated C++ Code and Data Partitioning Framework for Data Management of Data-Intensive Applications. SCOPES 2004: 122-136 - [c135]Peng Yang, Francky Catthoor:
Dynamic Mapping and Ordering Tasks of Embedded Real-Time Systems on Multiprocessor Platforms. SCOPES 2004: 167-181 - [c134]Stylianos Mamagkakis, Alexandros Mpartzas, Georgios Pouiklis, David Atienza, Francky Catthoor, Dimitrios Soudris, Jose Manuel Mendias, Antonios Thanailakis:
Design of Energy Efficient Wireless Networks Using Dynamic Data Type Refinement Methodology. WWIC 2004: 26-37 - [c133]Edgar G. Daylight, Bart Demoen, Francky Catthoor:
Formally Specifying Dynamic Data Structures for Embedded Software Design: an Initial Approach. FESCA@ETAPS 2004: 99-112 - 2003
- [j82]Kostas Masselos, Francky Catthoor, Constantinos E. Goutis, Hugo De Man:
Systematic Application of Data Transfer and Storage Optimizing Code Transformations for Power Consumption and Execution Time Reduction in ACROPOLIS: A Pre-Compiler for Multimedia Applications. Des. Autom. Embed. Syst. 8(1): 51-86 (2003) - [j81]Miroslav Cupák, Francky Catthoor, Hugo De Man:
Efficient System-Level Functional Verification Methodology for Multimedia Applications. IEEE Des. Test Comput. 20(2): 56-64 (2003) - [j80]K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens:
An Automatic Verification Technique for Loop and Data Reuse Transformations based on Geometric Modeling of Programs. J. Univers. Comput. Sci. 9(3): 248-269 (2003) - [j79]Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas:
Data dependency size estimation for use in memory optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(7): 908-921 (2003) - [j78]Bart Vanhoof, Lode Nachtergaele, Gauthier Lafruit, Mercedes Peón, Bart Masschelein, Francky Catthoor, Jan Bormans, Ivo Bolsens:
A scalable MPEG-4 wavelet-based visual texture compression system with optimized memory organization. IEEE Trans. Circuits Syst. Video Technol. 13(4): 348-357 (2003) - [j77]Margarida F. Jacome, Francky Catthoor:
Special issue on power-aware embedded computing. ACM Trans. Embed. Comput. Syst. 2(3): 251-254 (2003) - [j76]Tanja Van Achteren, Francky Catthoor, Rudy Lauwereins, Geert Deconinck:
Search space definition and exploration for nonuniform data reuse opportunities in data-dominant applications. ACM Trans. Design Autom. Electr. Syst. 8(1): 125-139 (2003) - [j75]Frederik Vermeulen, Francky Catthoor, Lode Nachtergaele, Diederik Verkest, Hugo De Man:
Power-efficient flexible processor architecture for embedded applications. IEEE Trans. Very Large Scale Integr. Syst. 11(3): 376-385 (2003) - [j74]Francky Catthoor, Marc Moonen:
Guest Editorial: Special Issue on Signal Processing Systems: Part I. J. VLSI Signal Process. 33(3): 227 (2003) - [j73]Bruno Bougard, Liesbet Van der Perre, F. Maessen, Alexandre Giulietti, Veerle Derudder, Francky Catthoor:
Memory Power Reduction for High-Speed Implementation of Turbo Codes. J. VLSI Signal Process. 33(3): 307-316 (2003) - [j72]Francky Catthoor, Marc Moonen:
Guest Editorial: Special Issue on Signal Processing Systems: Part II. J. VLSI Signal Process. 34(3): 187 (2003) - [c132]Sven Verdoolaege, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor:
Multi-dimentsional Incremetal Loops Fusion for Data Locality. ASAP 2003: 17-27 - [c131]Peng Yang, Francky Catthoor:
Pareto-optimization-based run-time task scheduling for embedded systems. CODES+ISSS 2003: 120-125 - [c130]Paul Marchal, José Ignacio Gómez, Luis Piñuel, Davide Bruni, Luca Benini, Francky Catthoor, Henk Corporaal:
SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. DATE 2003: 10516-10523 - [c129]Erik Brockmeyer, Miguel Miranda, Henk Corporaal, Francky Catthoor:
Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations. DATE 2003: 11070-11075 - [c128]Pieter Op de Beeck, C. Ghez, Erik Brockmeyer, Miguel Miranda, Francky Catthoor, Geert Deconinck:
Background Data Organisation for the Low-Power Implementation in Real-Time of a Digital Audio Broadcast Receiver on a SIMD Processor. DATE 2003: 11144-11145 - [c127]Rainer Schaffer, Renate Merker, Francky Catthoor:
Causality Constraints for Processor Architectures with Sub-Word Parallelism. DSD 2003: 82-89 - [c126]Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor:
Run-Time Scheduling for Multimedia Applications on Dynamically Reconfigurable Systems. ESTIMedia 2003: 156-162 - [c125]Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor:
Application of Task Concurrency Management on Dynamically Reconfigurable Hardware Platforms. FCCM 2003: 278-279 - [c124]Javier Resano, Daniel Mozos, Diederik Verkest, Serge Vernalde, Francky Catthoor:
Run-Time Minimization of Reconfiguration Overhead in Dynamically Reconfigurable Systems. FPL 2003: 585-594 - [c123]K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens:
Automatic functional verification of memory oriented global source code transformations. HLDVT 2003: 31-36 - [c122]Gregory Dimitroulakos, Athanasios Milidonis, Michalis D. Galanis, George Theodoridis, Costas E. Goutis, Francky Catthoor:
Power aware data type refinement on the HIPERLAN/2. ICECS 2003: 216-219 - [c121]Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Erik Brockmeyer, Francky Catthoor, Mary Jane Irwin:
Estimating influence of data layout optimizations on SDRAM energy consumption. ISLPED 2003: 40-43 - [c120]Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor:
Advanced copy propagation for arrays. LCTES 2003: 24-33 - [c119]Marc Leeman, David Atienza, Francky Catthoor, Vincenzo De Florio, Geert Deconinck, Jose Manuel Mendias, Rudy Lauwereins:
Power Estimation Approach of Dynamic Data Storage on a Hardware Software Boundary Level. PATMOS 2003: 289-298 - [c118]Vissarion Ferentinos, M. Milia, Gauthier Lafruit, Jan Bormans, Francky Catthoor:
Memory Compaction and Power Optimization for Wavelet-Based Coders. PATMOS 2003: 328-337 - [c117]Tom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Henk Corporaal, Francky Catthoor:
Instruction Buffering Exploration for Low Energy Embedded Processors. PATMOS 2003: 409-419 - [c116]Stefaan Himpe, Francky Catthoor, Geert Deconinck:
Control Flow Analysis for Recursion Removal. SCOPES 2003: 101-116 - [c115]Richard Stahl, Robert Pasko, Luc Rijnders, Diederik Verkest, Serge Vernalde, Rudy Lauwereins, Francky Catthoor:
Performance Analysis for Identification of (Sub-)Task-Level Parallelism in Java. SCOPES 2003: 313-328 - [c114]Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex:
Global interconnect trade-off for technology over memory modules to application level: case study. SLIP 2003: 125-132 - [p2]Heiko Falk, Peter Marwedel, Francky Catthoor:
Control Flow Driven Splitting of Loop Nests at the Source Code Level. Embedded Software for SoC 2003: 215-229 - [p1]Pol Marchal, José Ignacio Gómez, Davide Bruni, Luca Benini, Luis Piñuel, Francky Catthoor, Henk Corporaal:
SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. Embedded Software for SoC 2003: 319-330 - 2002
- [b1]Francky Catthoor, Koen Danckaert, Chidamber Kulkarni, Erik Brockmeyer, Per Gunnar Kjeldsberg, Tanja Van Achteren, Thierry J.-F. Omnés:
Data Access and Storage Management for Embedded Programmable Processors. Kluwer 2002, ISBN 978-0-7923-7689-7, pp. I-XIV, 1-305 - [j71]Paul Marchal, Murali Jayapala, Samuel Xavier de Souza, Peng Yang, Francky Catthoor, Geert Deconinck:
Matador: An Exploration Environment for System-Design. J. Circuits Syst. Comput. 11(5): 503-536 (2002) - [j70]Chantal Ykman-Couvreur, Jurgen Lambrecht, A. Van Der Togt, Francky Catthoor, Hugo De Man:
System-level exploration of association table implementations in telecom network applications. ACM Trans. Embed. Comput. Syst. 1(1): 106-140 (2002) - [j69]Kostas Masselos, Francky Catthoor, Constantinos E. Goutis, Hugo De Man:
A systematic methodology for the application of data transfer and storage optimizing code transformations for power consumption and execution time reduction in realizations of multimedia algorithms on programmable processors. IEEE Trans. Very Large Scale Integr. Syst. 10(4): 515-518 (2002) - [j68]Chantal Ykman-Couvreur, Jurgen Lambrecht, Diederik Verkest, Francky Catthoor, Bengt Svantesson, Ahmed Hemani, F. Wolf:
Dynamic memory management methodology applied to embedded telecom network systems. IEEE Trans. Very Large Scale Integr. Syst. 10(5): 650-667 (2002) - [c113]Chantal Ykman-Couvreur, Jurgen Lambrecht, Diederik Verkest, Francky Catthoor, Aristides Nikologiannis, George E. Konstantoulakis:
System-level performance optimization of the data queueing memory management in high-speed network processors. DAC 2002: 518-523 - [c112]Tanja Van Achteren, Geert Deconinck, Francky Catthoor, Rudy Lauwereins:
Data Reuse Exploration Techniques for Loop-Dominated Application. DATE 2002: 428-435 - [c111]Martin Palkovic, Miguel Miranda, Francky Catthoor:
Systematic Power-Performance Trade-Off in MPEG-4 by Means of Selective Function Inlining Steered by Address Optimization Opportunities. DATE 2002: 1072-1077 - [c110]Bruno Bougard, Alexandre Giulietti, Liesbet Van der Perre, Francky Catthoor:
A class of power efficient VLSI architectures for high speed turbo-decoding. GLOBECOM 2002: 549-553 - [c109]Michael Herz, Reiner W. Hartenstein, Miguel Miranda, Erik Brockmeyer, Francky Catthoor:
Memory addressing organization for stream-based reconfigurable computing. ICECS 2002: 813-817 - [c108]Edgar G. Daylight, Sven Wuytack, Chantal Ykman-Couvreur, Francky Catthoor:
Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures. ISLPED 2002: 76-79 - [c107]Rudy Lauwereins, Chun Wong, Paul Marchal, Johan Vounckx, Patrick David, Stefaan Himpe, Francky Catthoor, Peng Yang:
Managing Dynamic Concurrent Tasks in Embedded Real-Time Multimedia Systems. ISSS 2002: 112-119 - [c106]Chantal Ykman-Couvreur, Jurgen Lambrecht, A. Van Der Togt, Francky Catthoor:
Multi-ovjective abstract data type refinement for mapping tables in telecom network applications. MSP/ISMM 2002: 65-75 - [c105]Rainer Schaffer, Renate Merker, Francky Catthoor:
Systematic Design of Programs with Sub-Word Parallelism. PARELEC 2002: 393-398 - [c104]Murali Jayapala, Francisco Barat, Pieter Op de Beeck, Francky Catthoor, Geert Deconinck, Henk Corporaal:
A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors. PATMOS 2002: 258-267 - [c103]Miguel Miranda, C. Ghez, Erik Brockmeyer, Pieter Op de Beeck, Francky Catthoor:
Data Transfer and Storage Exploration for Real-Time Implementation of a Digital Audio Broadcast Receiver on a Trimedia Processor. SBCCI 2002: 373-378 - [c102]Antonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex:
Interconnect exploration for future wire dominated technologies. SLIP 2002: 105-106 - [c101]Martin Palkovic, Miguel Miranda, Kristof Denolf, Peter Vos, Francky Catthoor:
Systematic Address and Control Code Transformations for Performance Optimisation of a MPEG-4 Video Decoder. ASP-DAC/VLSI Design 2002: 547-552 - [c100]Remko Tronçon, Maurice Bruynooghe, Gerda Janssens, Francky Catthoor:
Storage Size Reduction by In-place Mapping of Arrays. VMCAI 2002: 167-181 - [c99]Edgar G. Daylight, T. Fermentel, Chantal Ykman-Couvreur, Francky Catthoor:
Incorporating energy efficient data structures into modular software implementations for internet-based embedded systems. Workshop on Software and Performance 2002: 134-141 - [c98]K. C. Shashidhar, Maurice Bruynooghe, Francky Catthoor, Gerda Janssens:
Geometric Model Checking: An Automatic Verification Technique for Loop and Data Reuse Transformations. COCV@ETAPS 2002: 67-82 - 2001
- [j67]Rochit Rajsuman, Francky Catthoor:
Guest Editors' Intoduction: The New World of Large Embedded Memories. IEEE Des. Test Comput. 18(3): 3-4 (2001) - [j66]Lode Nachtergaele, Francky Catthoor, Chidamber Kulkarni:
Random-Access Data Storage Components in Customized Architectures. IEEE Des. Test Comput. 18(3): 40-54 (2001) - [j65]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau, Francky Catthoor, Arnout Vandecappelle, Erik Brockmeyer, Chidamber Kulkarni, Eddy de Greef:
Data Memory Organization and Optimizations in Application-Specific Systems. IEEE Des. Test Comput. 18(3): 56-68 (2001) - [j64]Francky Catthoor, Koen Danckaert, Sven Wuytack, Nikil D. Dutt:
Code Transformations for Data Transfer and Storage Exploration Preprocessing in Multimedia Processors. IEEE Des. Test Comput. 18(3): 70-82 (2001) - [j63]Peng Yang, Chun Wong, Paul Marchal, Francky Catthoor, Dirk Desmet, Diederik Verkest, Rudy Lauwereins:
Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs. IEEE Des. Test Comput. 18(5): 46-58 (2001) - [j62]Peeter Ellervee, Miguel Miranda, Francky Catthoor, Ahmed Hemani:
System-level data-format exploration for dynamically allocated datastructures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(12): 1469-1472 (2001) - [j61]Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg:
Data and memory optimization techniques for embedded systems. ACM Trans. Design Autom. Electr. Syst. 6(2): 149-206 (2001) - [j60]Curt Schurgers, Francky Catthoor, Marc Engels:
Memory optimization of MAP turbo decoder algorithms. IEEE Trans. Very Large Scale Integr. Syst. 9(2): 305-312 (2001) - [j59]Koen Danckaert, Chidamber Kulkarni, Francky Catthoor, Hugo De Man, Vivek Tiwari:
A Systematic Approach to Reduce the System Bus Load and Power in Multimedia Algorithms. VLSI Design 12(2): 101-111 (2001) - [j58]Lode Nachtergaele, Toon Gijbels, Jan Bormans, Francky Catthoor, Ivo Bolsens:
Power and Speed-Efficient Code Transformation of Video Compression Algorithms for RISC Processors. J. VLSI Signal Process. 27(1-2): 161-169 (2001) - [c97]Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas:
Detection of Partially Simultaneously Alive Signals in Storage Requirement Estimation for Data Intensive Applications. DAC 2001: 365-370 - [c96]Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man:
Cache conscious data layout organization for embedded multimedia applications. DATE 2001: 686-693 - [c95]Chun Wong, Paul Marchal, Peng Yang, Francky Catthoor, Hugo De Man, Aggeliki S. Prayati, Nathalie Cossement, Rudy Lauwereins, Diederik Verkest:
Task concurrency management methodology summary. DATE 2001: 813 - [c94]Stamatis Vassiliadis, Francky Catthoor, Mateo Valero, Sorin Cotofana:
Topic 15+20: Multimedia and Embedded Systems. Euro-Par 2001: 651-652 - [c93]Florin Balasa, Werner Geurts, Francky Catthoor, Hugo De Man:
Solving large scale assignment problems in high-level synthesis by approximative quadratic programming. ACM Great Lakes Symposium on VLSI 2001: 19-24 - [c92]Kostas Masselos, Francky Catthoor, A. Kakarudas, Costas E. Goutis, Hugo De Man:
Memory hierarchy layer assignment for data re-use exploitation in multimedia algorithms realized on predefined processor architectures. ICECS 2001: 285-288 - [c91]Tycho van Meeuwen, Arnout Vandecappelle, Allert van Zelst, Francky Catthoor, Diederik Verkest:
System-level interconnect architecture exploration for custom memory organizations. ISSS 2001: 13-18 - [c90]Miguel Miranda, C. Ghez, Chidamber Kulkarni, Francky Catthoor, Diederik Verkest:
Systematic speed-power memory data-layout exploration for cache controlled embedded multimedia applications. ISSS 2001: 107-112 - [c89]Doris Keitel-Schulz, Norbert Wehn, Francky Catthoor, Preeti Ranjan Panda:
Embedded Memories in System Design: Technology, Application, Design and Tools. VLSI Design 2001: 5-6 - [c88]Koen Danckaert, Chidamber Kulkarni, Francky Catthoor, Hugo De Man, Vivek Tiwari:
A Systematic Approach for System Bus Load Reduction Applied to Medical Imaging. VLSI Design 2001: 48- - 2000
- [j57]Tanja Van Achteren, Marleen Adé, Rudy Lauwereins, Marc Proesmans, Luc Van Gool, Jan Bormans, Francky Catthoor:
Transformations of a 3D Image Reconstruction Algorithm for Data Transfer and Storage Optimisation. Des. Autom. Embed. Syst. 5(3-4): 313-327 (2000) - [j56]Gauthier Lafruit, Lode Nachtergaele, Bart Vanhoof, Francky Catthoor:
The Local Wavelet Transform: a memory-efficient, high-speed architecture optimized to a Region-Oriented Zero-Tree coder. Integr. Comput. Aided Eng. 7(2): 89-103 (2000) - [j55]Rainer Schaffer, Francky Catthoor, Renate Merker:
Combining Background Memory Management and Regular Array Co-Partitioning, Illustrated on a Full Motion Estimation Kernel. Parallel Algorithms Appl. 15(3-4): 201-228 (2000) - [j54]Frederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man:
Formalized three-layer system-level model and reuse methodology for embedded data-dominated applications. IEEE Trans. Very Large Scale Integr. Syst. 8(2): 207-216 (2000) - [j53]Kostas Masselos, Koen Danckaert, Francky Catthoor, Nikolaos D. Zervas, Constantinos E. Goutis, Hugo De Man:
A Specification Refinement Methodology for Power Efficient Partitioning of Data-Dominated Algorithms Within Performance Constraints. J. VLSI Signal Process. 26(3): 291-317 (2000) - [c87]Koen Danckaert, Francky Catthoor, Hugo De Man:
A preprocessing step for global loop transformations for data transfer optimization. CASES 2000: 34-40 - [c86]Peng Yang, Dirk Desmet, Francky Catthoor, Diederik Verkest:
Dynamic scheduling of concurrent tasks with cost performance trade-off. CASES 2000: 103-109 - [c85]Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas:
Storage requirement estimation for data intensive applications with partially fixed execution ordering. CODES 2000: 56-60 - [c84]Frederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man:
Extended design reuse trade-offs in hardware-software architecture mapping. CODES 2000: 103-107 - [c83]Thierry J.-F. Omnés, Thierry Franzetti, Francky Catthoor:
Interactive co-design of high throughput embedded multimedia. DAC 2000: 328-331 - [c82]Peeter Ellervee, Miguel Miranda, Francky Catthoor, Ahmed Hemani:
System-level data format exploration for dynamically allocated data structures. DAC 2000: 556-559 - [c81]Sumit Gupta, Rajesh K. Gupta, Miguel Miranda, Francky Catthoor:
Analysis of High-Level Address Code Transformations for Programmable Processors. DATE 2000: 9-13 - [c80]Frederik Vermeulen, Francky Catthoor, Hugo De Man, Diederik Verkest:
Formalized Three-Layer System-Level Reuse Model and Methodology for Embedded Data-Dominated Applications. DATE 2000: 92-98 - [c79]Francky Catthoor, Nikil D. Dutt, Christoforos E. Kozyrakis:
How to Solve the Current Memory Access and Data Transfer Bottlenecks: At the Processor Architecture or at the Compiler Level? DATE 2000: 426-433 - [c78]Thierry J.-F. Omnés, Francky Catthoor, Thierry Franzetti:
Multi-dimensional Selection Techniques for Minimizing Memory Bandwidth in High-Throughput Embedded Systems. HiPC 2000: 323-334 - [c77]Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas:
Automated Data Dependency Size Estimation with a Partially Fixed Execution Ordering. ICCAD 2000: 44-50 - [c76]Aggeliki S. Prayati, Chun Wong, Paul Marchal, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man, Alexios N. Birbas:
Task Concurrency Management Experiment for Power-Efficient Speed-up of Embedded MPEG4 IM1 Player. ICPP Workshops 2000: 453-460 - [c75]Chidamber Kulkarni, Francky Catthoor, Hugo De Man:
Advanced Data Layout Optimization for Multimedia Applications. IPDPS Workshops 2000: 186-193 - [c74]Erik Brockmeyer, Arnout Vandecappelle, Francky Catthoor:
Systematic cycle budget versus system power trade-off: a new perspective on system exploration of real-time data-dominated applications. ISLPED 2000: 137-142 - [c73]Tanja Van Achteren, Rudy Lauwereins, Francky Catthoor:
Systematic Data Reuse Exploration Methodology for Irregular Access Patterns. ISSS 2000: 115-122 - [c72]Erik Brockmeyer, Arnout Vandecappelle, Sven Wuytack, Francky Catthoor:
Low Power Storage Cycle Budget Distribution Tool Support for Hierarchical Graphs. ISSS 2000: 200-206 - [c71]Frederik Vermeulen, Lode Nachtergaele, Francky Catthoor, Diederik Verkest, Hugo De Man:
Flexible hardware acceleration for multimedia oriented microprocessors. MICRO 2000: 171-177 - [c70]Paul Marchal, Chun Wong, Aggeliki S. Prayati, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man:
Dynamic Memory Oriented Transformations in the MPEG4 IM1-Player on a Low Power Platform. PACS 2000: 40-50 - [c69]Koen Danckaert, Francky Catthoor, Hugo De Man:
A loop transformation approach for combined parallelization and data transfer and storage optimization. PDPTA 2000 - [c68]Rainer Schaffer, Renate Merker, Francky Catthoor:
Combining Background Memory Management and Regular Array Co-Partitioning, Illustrated on a Full Motion Estimation Kernel. VLSI Design 2000: 104-109
1990 – 1999
- 1999
- [j52]Koen Danckaert, Kostas Masselos, Francky Catthoor, Hugo De Man:
Strategy for power efficient combined task and data parallelism exploration illustrated on a QSDPCM video codec. J. Syst. Archit. 45(10): 791-808 (1999) - [j51]Gaetano Borriello, Diederik Verkest, Francky Catthoor:
Guest Editorial. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(1): 1-2 (1999) - [j50]Sven Wuytack, Julio Leao da Silva Jr., Francky Catthoor, Gjalt G. de Jong, Chantal Ykman-Couvreur:
Memory management for embedded network applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(5): 533-544 (1999) - [j49]Erik Brockmeyer, Lode Nachtergaele, Francky Catthoor, Jan Bormans, Hugo De Man:
Low Power Memory Storage and Transfer Organization for the MPEG-4 Full Pel Motion Estimation on a Multimedia Processor. IEEE Trans. Multim. 1(2): 202-216 (1999) - [j48]Gauthier Lafruit, Francky Catthoor, Jan Cornelis, Hugo De Man:
An efficient VLSI architecture for 2-D wavelet image coding with novel image scan. IEEE Trans. Very Large Scale Integr. Syst. 7(1): 56-68 (1999) - [j47]Koen Danckaert, Kostas Masselos, Francky Catthoor, Hugo De Man, Constantinos E. Goutis:
Strategy for power-efficient design of parallel systems. IEEE Trans. Very Large Scale Integr. Syst. 7(2): 258-265 (1999) - [j46]Sven Wuytack, Francky Catthoor, Gjalt G. de Jong, Hugo De Man:
Minimizing the required memory bandwidth in VLSI system realizations. IEEE Trans. Very Large Scale Integr. Syst. 7(4): 433-441 (1999) - [j45]Diederik Verkest, Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Kris Croes, Miguel Miranda, Sven Wuytack, Francky Catthoor, Gjalt G. de Jong, Hugo De Man:
Matisse: A System-on-Chip Design Methodology Emphasizing Dynamic Memory Management. J. VLSI Signal Process. 21(3): 185-194 (1999) - [j44]Francky Catthoor:
Energy-Delay Efficient Data Storage and Transfer Architectures and Methodologies: Current Solutions and Remaining Problems. J. VLSI Signal Process. 21(3): 219-231 (1999) - [j43]Chidamber Kulkarni, Dennis Moolenaar, Lode Nachtergaele, Francky Catthoor, Hugo De Man:
System-Level Energy-Delay Exploration for Multimedia Applications on Embedded Cores with Hardware Cache. J. VLSI Signal Process. 22(1): 45-57 (1999) - [c67]Arnout Vandecappelle, Miguel Miranda, Erik Brockmeyer, Francky Catthoor, Diederik Verkest:
Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback. DAC 1999: 327-332 - [c66]Peeter Ellervee, Ahmed Hemani, Miguel Miranda, Francky Catthoor:
Exploiting Data Transfer Locality in Memory Mapping. EUROMICRO 1999: 1014-1021 - [c65]Chidamber Kulkarni, Koen Danckaert, Francky Catthoor, Manish Gupta:
Interaction Between Data Parallel Compilation and Data Transfer and Storage Cost Minimization for Multimedia Applications. Euro-Par 1999: 668-676 - [c64]Peter Schelkens, Francis Decroos, Gauthier Lafruit, Francky Catthoor, Jan Cornelis:
Efficient implementation of embedded zero-tree wavelet encoding. ICECS 1999: 1155-1158 - [c63]Kostas Masselos, Francky Catthoor, Costas E. Goutis, H. DeMan:
System-level power optimizing data-flow transformations for multimedia applications realized on programmable multimedia processors. ICECS 1999: 1733-1736 - [c62]Curt Schurgers, Francky Catthoor, Marc Engels:
Energy efficient data transfer and storage organization for a MAP turbo decoder module. ISLPED 1999: 76-81 - [c61]Kostas Masselos, Koen Danckaert, Francky Catthoor, Constantinos E. Goutis, Hugo De Man:
A methodology for power efficient partitioning of data-dominated algorithm specifications within performance constraints. ISLPED 1999: 270-272 - [c60]Chantal Ykman-Couvreur, Jurgen Lambrecht, Diederik Verkest, Francky Catthoor, Hugo De Man:
Exploration and Synthesis of Dynamic Data Sets in Telecom Network Applications. ISSS 1999: 85-93 - [c59]Koen Danckaert, Francky Catthoor, Hugo De Man:
Platform Independent Data Transfer and Storage Exploration Illustrated on Parallel Cavity Detection Algorithm. PDPTA 1999: 1669-1675 - [c58]Tanja Van Achteren, Marleen Adé, Rudy Lauwereins, Marc Proesmans, Luc Van Gool, Jan Bormans, Francky Catthoor:
Transformations of a 3D Image Reconstruction Algorithm for Data Transfer and Storage Optimization. IEEE International Workshop on Rapid System Prototyping 1999: 81-86 - 1998
- [j42]Zohair Sahraoui, Francky Catthoor, Paul Six, Hugo De Man:
Techniques for Reducing the Number of Decisions and Backtracks in Combinational Test Generation. J. Electron. Test. 12(3): 217-238 (1998) - [j41]Lode Nachtergaele, Francky Catthoor, Bhanu Kapoor, Stefan Janssens, Dennis Moolenaar:
Low-power data transfer and storage exploration for H.263 video decoder system. IEEE J. Sel. Areas Commun. 16(1): 120-129 (1998) - [j40]Eddy de Greef, Francky Catthoor, Hugo De Man:
Program transformation strategies for memory size and power reduction of pseudoregular multimedia subsystems. IEEE Trans. Circuits Syst. Video Technol. 8(6): 719-733 (1998) - [j39]Sven Wuytack, Jean-Philippe Diguet, Francky Catthoor, Hugo De Man:
Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings. IEEE Trans. Very Large Scale Integr. Syst. 6(4): 529-537 (1998) - [j38]Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man:
High-level address optimization and synthesis techniques for data-transfer-intensive applications. IEEE Trans. Very Large Scale Integr. Syst. 6(4): 677-686 (1998) - [j37]Francky Catthoor, Martin Janssen, Lode Nachtergaele, Hugo De Man:
System-Level Data-Flow Transformation Exploration and Power-Area Trade-offs Demonstrated on Video Codecs. J. VLSI Signal Process. 18(1): 39-50 (1998) - [j36]Lode Nachtergaele, Dennis Moolenaar, Bart Vanhoof, Francky Catthoor, Hugo De Man:
System-Level Power Optimization of Video Codecs on Embedded Cores: A Systematic Approach. J. VLSI Signal Process. 18(2): 89-109 (1998) - [c57]Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Miguel Miranda, Kris Croes, Sven Wuytack, Gjalt G. de Jong, Francky Catthoor, Diederik Verkest, Paul Six, Hugo De Man:
Efficient System Exploration and Synthesis of Applications with Dynamic Data Storage and Intensive Data Transfer. DAC 1998: 76-81 - [c56]Francky Catthoor:
Energy-Delay Efficient Data Storage and Transfer Architectures: Circuit Technology versus Design Methodology Solutions. DATE 1998: 709-714 - [c55]Chidamber Kulkarni, Francky Catthoor, Hugo De Man:
Hardware Cache Optimization for Parallel Multimedia Applications. Euro-Par 1998: 923-932 - [c54]Soren Hein, Vijay Nagasamy, Bernhard Rohfleisch, Christoforos E. Kozyrakis, Nikil D. Dutt, Francky Catthoor:
Embedded memories in system design - from technology to systems architecture. ICCAD 1998: 1 - [c53]Erik Brockmeyer, Francky Catthoor, Jan Bormans, Hugo De Man:
Code Transformations for Reduced Data Transfer and Storage in Low Power Realisations of MPEG-4 Full-Pel Motion Estimation. ICIP (3) 1998: 985-989 - [c52]Chidamber Kulkarni, Francky Catthoor, Hugo De Man:
Code Transformations for Low Power Caching in Embedded Multimedia Processors. IPPS/SPDP 1998: 292-297 - [c51]Julio Leao da Silva Jr., Francky Catthoor, Diederik Verkest, Hugo De Man:
Power exploration for dynamic data types through virtual memory management refinement. ISLPED 1998: 311-316 - [c50]Francky Catthoor, Diederik Verkest, Erik Brockmeyer:
Proposal for Unified System Design Meta Flow in Task-Level and Instruction-Level Design Technology Research for Multi-Media Applications. ISSS 1998: 89-95 - [c49]Lode Nachtergaele, Toon Gijbels, Jan Bormans, Francky Catthoor, Marc Engels:
Power and speed-efficient code transformation of multimedia algorithms for RISC processors. MMSP 1998: 317-322 - [e2]Francky Catthoor:
Proceedings of the 11th International Symposium on System Synthesis, ISSS '98, Hsinchu, Taiwan, December 2-4, 1998. ACM / IEEE Computer Society 1998, ISBN 0-8186-8623-5 [contents] - 1997
- [j35]Eddy de Greef, Francky Catthoor, Hugo De Man:
Memory Size Reduction Through Storage Order Optimization for Embedded Parallel Multimedia Applications. Parallel Comput. 23(12): 1811-1837 (1997) - [j34]Florin Balasa, Francky Catthoor, Hugo De Man:
Practical solutions for counting scalars and dependences in ATOMIUM-a memory management system for multidimensional signal processing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(2): 133-145 (1997) - [c48]Eddy de Greef, Francky Catthoor, Hugo De Man:
Array Placement for Storage Size Reduction in Embedded Multimedia Systems. ASAP 1997: 66-75 - [c47]Koen Danckaert, Francky Catthoor, Hugo De Man:
System level memory optimization for hardware-software co-design. CODES 1997: 55-59 - [c46]Miguel Miranda, M. Kaspar, Francky Catthoor, Hugo De Man:
Architectural exploration and optimization for counter based hardware address generation. ED&TC 1997: 293-298 - [c45]Jean-Philippe Diguet, Sven Wuytack, Francky Catthoor, Hugo De Man:
Formalized methodology for data reuse exploration in hierarchical memory mappings. ISLPED 1997: 30-35 - [c44]Jim Burr, Anantha P. Chandrakasan, Fari Assaderaghi, Francky Catthoor, Frank Fox, Dave Greenhill, Deo Singh, Jim Sproch:
Low power design without compromise (panel). ISLPED 1997: 293-294 - [c43]Peter Slock, Sven Wuytack, Francky Catthoor, Gjalt G. de Jong:
Fast and Extensive System-Level Memory Exploration for ATM Applications. ISSS 1997: 74-81 - [e1]Frank Vahid, Francky Catthoor:
Proceedings of the 10th International Symposium on System Synthesis, ISSS '97, Antwerp, Belgium, September 17-19, 1997. ACM / IEEE Computer Society 1997, ISBN 0-8186-7949-2 [contents] - 1996
- [j33]Sven Wuytack, Francky Catthoor, Hugo De Man:
Transforming set data types to power optimal data structures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(6): 619-629 (1996) - [c42]Martin Janssen, Francky Catthoor, Hugo De Man:
A Specification Invariant Technique for Regularity Improvement between Flow-Graph Clusters. ED&TC 1996: 138-143 - [c41]Larry S. Davis, Jean-Marc Delosme, Francky Catthoor:
Parallel Image/Video Processing and Computer Arithmetic. Euro-Par, Vol. II 1996: 103-108 - [c40]Koen Danckaert, Francky Catthoor, Hugo De Man:
System-Level Memory Management for Weakly Parallel Image Processing. Euro-Par, Vol. II 1996: 217-225 - [c39]Gauthier Lafruit, Peter Schelkens, Francky Catthoor, Jan Cornelis:
Reduction of the memory requirements for the VLSI implementation of the 2D-inverse fast wavelet transform, using a space-filling curve. ICECS 1996: 836-839 - [c38]Francky Catthoor, Martin Janssen, Lode Nachtergaele, Hugo De Man:
System-level data-flow transformations for power reduction in image and video processing. ICECS 1996: 1025-1028 - [c37]Sven Wuytack, Francky Catthoor, Lode Nachtergaele, Hugo De Man:
Power exploration for data dominated video applications. ISLPED 1996: 359-364 - [c36]Miguel Miranda, Francky Catthoor, Martin Janssen, Hugo De Man:
ADOPT: Efficient Hardware Address Generation in Distributed Memory Architectures. ISSS 1996: 20-25 - [c35]Sven Wuytack, Francky Catthoor, Gjalt G. de Jong, Bill Lin, Hugo De Man:
Flow Graph Balancing for Minimizing the Required Memory Bandwidth. ISSS 1996: 127-132 - 1995
- [j32]Johannes Steensma, Francky Catthoor, Hugo De Man:
Partial scan and symbolic test at the register-transfer level. J. Electron. Test. 7(1-2): 7-23 (1995) - [j31]Marc Moonen, Francky Catthoor:
Algorithms and parallel VLSI architectures. Integr. 20(1): 1-2 (1995) - [j30]Francky Catthoor, Marc Moonen:
Parallel programmable architectures and compilation for multi-dimensional processing. Microprocess. Microprogramming 41(5-6): 333-337 (1995) - [j29]Eddy de Greef, Francky Catthoor, Hugo De Man:
Mapping real-time motion estimation type algorithms to memory efficient, programmable multi-processor architectures. Microprocess. Microprogramming 41(5-6): 409-423 (1995) - [j28]Deo Singh, Jan M. Rabaey, Massoud Pedram, Francky Catthoor, Suresh Rajgopal, Naresh Sehgal, Thomas J. Mozdzen:
Power conscious CAD tools and methodologies: a perspective. Proc. IEEE 83(4): 570-594 (1995) - [j27]Werner Geurts, Francky Catthoor, Hugo De Man:
Quadratic zero-one programming-based synthesis of application-specific data paths. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(1): 1-11 (1995) - [j26]Florin Balasa, Francky Catthoor, Hugo De Man:
Background memory area estimation for multidimensional signal processing systems. IEEE Trans. Very Large Scale Integr. Syst. 3(2): 157-172 (1995) - [j25]Gert Goossens, Dirk Lanneer, Marc Pauwels, Francis Depuydt, Koen Schoofs, Augusli Kifli, Marco Cornero, Paolo Petroni, Francky Catthoor, Hugo De Man:
Integration of medium-throughput signal processing algorithms on flexible instruction-set architectures. J. VLSI Signal Process. 9(1-2): 49-65 (1995) - [j24]Marc Pauwels, Gert Goossens, Francky Catthoor, Hugo De Man:
Formalisation of multi-precision arithmetic for high-level synthesis of DSP architectures. J. VLSI Signal Process. 11(1-2): 97-112 (1995) - [c34]Gjalt G. de Jong, Bill Lin, Carl Verdonck, Sven Wuytack, Francky Catthoor:
Background memory management for dynamic data structure intensive processing systems. ICCAD 1995: 515-520 - [c33]Eddy de Greef, Francky Catthoor, Hugo De Man:
Memory organization for video algorithms on programmable signal processors. ICCD 1995: 552-557 - [c32]Sven Wuytack, Francky Catthoor, Hugo De Man:
Transforming set data types to power optimal data structures. ISLPD 1995: 51-56 - [c31]Hans Samsom, Frank H. M. Franssen, Francky Catthoor, Hugo De Man:
System level verification of video and image processing specifications. ISSS 1995: 144-149 - 1994
- [j23]Jos van Sas, Chay Nowé, Didier Pollet, Francky Catthoor, Paul Vanoostende, Hugo De Man:
Design of a C-testable booth multiplier using a realistic fault model. J. Electron. Test. 5(1): 29-41 (1994) - [j22]Florin Balasa, Frank H. M. Franssen, Francky Catthoor, Hugo De Man:
Transformation of Nested Loops with Modulo Indexing to Affine Recurrences. Parallel Process. Lett. 4: 271-280 (1994) - [j21]Jos van Sas, Francky Catthoor, Hugo De Man:
Cellular automata based deterministic self-test strategies for programmable data paths. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(7): 940-949 (1994) - [c30]Jan Rosseel, Francky Catthoor, Hugo De Man:
An optimisation methodology for array mapping of affine recurrence equations in video and image processing. ASAP 1994: 415-426 - [c29]Francky Catthoor, Werner Geurts, Hugo De Man:
Loop transformation methodology for fixed-rate video, image and telecom processing applications. ASAP 1994: 427-438 - [c28]Frank H. M. Franssen, Lode Nachtergaele, Hans Samsom, Francky Catthoor, Hugo De Man:
Control flow optimization for fast system simulation and storage minimization. EDAC-ETC-EUROASIC 1994: 20-24 - [c27]Florin Balasa, Francky Catthoor, Hugo De Man:
Dataflow-driven memory allocation for multi-dimensional signal processing systems. ICCAD 1994: 31-34 - [c26]Gert Goossens, Ivo Bolsens, Bill Lin, Francky Catthoor:
Design of heterogeneous ICs for mobile and personal communication systems. ICCAD 1994: 524-531 - [c25]Francky Catthoor, Ed F. Deprettere, Yu Hen Hu, Jan M. Rabaey, Heinrich Meyr, Lothar Thiele:
Is it Possible to achieve a Teraflop/s on a chip? From High Performance Algorithms to Architectures. ISCAS 1994: 129-136 - [c24]Martin Janssen, Francky Catthoor, Hugo De Man:
A specification invariant technique for operation cost minimisation in flow-graphs. HLSS 1994: 146-151 - 1993
- [j20]Jos van Sas, Francky Catthoor, Hugo De Man:
Test Algorithms for Double-Buffered Random Access and Pointer-Addressed Memories. IEEE Des. Test Comput. 10(2): 34-44 (1993) - [j19]Johannes Steensma, Werner Geurts, Francky Catthoor, Hugo De Man:
Testability analysis in high level data path synthesis. J. Electron. Test. 4(1): 43-56 (1993) - [j18]Frank H. M. Franssen, Florin Balasa, Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man:
Modeling multidimensional data and control flow. IEEE Trans. Very Large Scale Integr. Syst. 1(3): 319-327 (1993) - [j17]Takao Nishitani, Peng H. Ang, Francky Catthoor:
Introduction. J. VLSI Signal Process. 5(2-3): 113 (1993) - [j16]Toon Gijbels, Francky Catthoor, Luc Van Eycken, André Oosterlinck, Hugo De Man:
An application-specific architecture for the RBN-coder with efficient memory organization. J. VLSI Signal Process. 5(2-3): 221-235 (1993) - [c23]Werner Geurts, Francky Catthoor, Hugo De Man:
Quadratic zero-one programming based synthesis of application specific data paths. ICCAD 1993: 522-525 - [c22]Florin Balasa, Francky Catthoor, Hugo De Man:
Exact evaluation of memory size for multi-dimensional signal processing systems. ICCAD 1993: 669-672 - [c21]Johannes Steensma, Francky Catthoor, Hugo De Man:
Partial Scan at the Register-Transfer Level. ITC 1993: 488-497 - 1992
- [j15]Stefaan Note, Francky Catthoor, Gert Goossens, Hugo De Man:
Combined hardware selection and pipelining in high-performance data-path design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(4): 413-423 (1992) - [j14]Peter Vanbekbergen, Gert Goossens, Francky Catthoor, Hugo De Man:
Optimized synthesis of asynchronous control circuits from graph-theoretic specifications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(11): 1426-1438 (1992) - [j13]Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man:
Nonlinear transformations for high level regular array ASIC synthesis. J. VLSI Signal Process. 4(4): 259-268 (1992) - [c20]Werner Geurts, Francky Catthoor, Hugo De Man:
Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing. DAC 1992: 124-127 - [c19]Marc Pauwels, Dirk Lanneer, Francky Catthoor, Gert Goossens, Hugo De Man:
Models for bit-true simulation and high-level synthesis of DSP applications. Great Lakes Symposium on VLSI 1992: 52-59 - [c18]Jos van Sas, Francky Catthoor, Hugo De Man:
Optimized BIST Strategies for Programmable Data Paths Based on Cellular Automata. ITC 1992: 110-119 - 1991
- [j12]Michaël F. X. B. van Swaaij, Jan Rosseel, Francky Catthoor, Hugo De Man:
Synthesis of ASIC regular arrays for real-time image processing systems. J. VLSI Signal Process. 3(3): 183-192 (1991) - [j11]Ingrid Verbauwhede, Francky Catthoor, Joos Vandewalle, Hugo De Man:
In-place memory management of algebraic algorithms on application specific ICs. J. VLSI Signal Process. 3(3): 193-200 (1991) - [c17]Jan Rosseel, Francky Catthoor, Hugo De Man:
The systematic design of a motion estimation array architecture. ASAP 1991: 40-54 - [c16]Francky Catthoor, M. Van Swaalj, Jan Rosseel, Hugo De Man:
Array design methodologies for real-time signal processing in the CATHEDRAL-IV synthesis environment. Algorithms and Parallel VLSI Architectures 1991: 211-222 - [c15]M. Van Swaalj, Francky Catthoor, Hugo De Man:
Signal analysis and signal transformations for ASIC regular array architecture synthesis. Algorithms and Parallel VLSI Architectures 1991: 223-232 - [c14]Stefaan Note, Werner Geurts, Francky Catthoor, Hugo De Man:
Cathedral-III: Architecture-Driven High-level Synthesis for High Throughput DSP Applications. DAC 1991: 597-602 - [c13]Jos van Sas, Francky Catthoor, Peter Vandeput, Frank Rossaert, Hugo De Man:
Automated test pattern generation for the Cathedral-II/2nd architectural synthesis environment. EURO-DAC 1991: 208-213 - [c12]Jan Rosseel, Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man:
Affine transformations for multi-dimensional signal processing on ASIC regular arrays. EURO-DAC 1991: 442-446 - [c11]Chen-Yi Lee, Francky Catthoor, Hugo De Man:
Breaking the bottleneck of sequential decoding for high-speed digital communication. ICASSP 1991: 1213-1216 - [c10]Werner Geurts, Stefaan Note, Francky Catthoor, Hugo De Man:
Partitioning-Based Allocation of Dedicated Data-Paths in the Architectural Synthesis for High Throughput Applications. VLSI 1991: 193-202 - 1990
- [j10]Chen-Yi Lee, Francky Catthoor, Hugo De Man:
Efficient VLSI Architectures for a High-Performance Digital Image Communication System. IEEE J. Sel. Areas Commun. 8(8): 1481-1491 (1990) - [j9]Jos van Sas, Francky Catthoor, Luc Inzé, Hugo De Man:
Testability strategy and test pattern generation for register files and customized memories. Microprocess. Microsystems 14(7): 444-456 (1990) - [j8]Michaël F. X. B. van Swaaij, Francky Catthoor, Hugo De Man:
Deriving ASIC architectures for the Hough transform. Parallel Comput. 16(1): 113-121 (1990) - [j7]Hugo De Man, Francky Catthoor, Gert Goossens, Jan Vanhoof, Jef L. van Meerbergen, Stefaan Note, Jos A. Huisken:
Architecture-driven synthesis techniques for VLSI implementation of DSP algorithms. Proc. IEEE 78(2): 319-335 (1990) - [j6]Francky Catthoor, Hugo De Man:
Application-specific architectural methodologies for high-throughput digital signal and image processing. IEEE Trans. Acoust. Speech Signal Process. 38(2): 339-349 (1990) - [j5]Jef L. van Meerbergen, Jos Huisken, Paul E. R. Lippens, O. McArdle, R. Segers, Gert Goossens, Jan Vanhoof, Dirk Lanneer, Francky Catthoor, Hugo De Man:
An integrated automatic design system for complex DSP algorithms. J. VLSI Signal Process. 1(4): 265-278 (1990) - [j4]Francky Catthoor, Dirk Lanneer, Hugo De Man:
Efficient microcoded processor design for fixed rate DFT and FFT. J. VLSI Signal Process. 1(4): 287-306 (1990) - [c9]Jan Rosseel, Francky Catthoor, Hugo De Man:
Extensions to linear mapping for regular arrays with complex processing elements. ASAP 1990: 156-167 - [c8]Dirk Lanneer, Francky Catthoor, Gert Goossens, Marc Pauwels, Jef L. van Meerbergen, Hugo De Man:
Open-ended system for high-level synthesis of flexible signal processors. EURO-DAC 1990: 272-276 - [c7]Peter Vanbekbergen, Francky Catthoor, Gert Goossens, Hugo De Man:
Optimized Synthesis of Asynchronous Control Circuits from Graph-Theoretic Specifications. ICCAD 1990: 184-187 - [c6]Stefaan Note, Francky Catthoor, Gert Goossens, Hugo De Man:
Combined hardware selection and pipelining in high performance data-path design. ICCD 1990: 328-331 - [c5]Toon Gijbels, Luc Van Eycken, André Oosterlinck, Stefaan Note, Francky Catthoor:
An ASIC-architecture for VLSI-implementation of the RBN-algorithm. ICPR (2) 1990: 408-412 - [c4]Jos van Sas, Francky Catthoor, Hugo De Man:
Cellular automata based self-test for programmable data paths. ITC 1990: 769-778
1980 – 1989
- 1989
- [j3]Francky Catthoor, Jos van Sas, Luc Inzé, Hugo De Man:
A testability strategy for multiprocessor architecture. IEEE Des. Test 6(2): 18-34 (1989) - [c3]Stefaan Note, Francky Catthoor, Jef L. van Meerbergen, Hugo De Man:
Definition and assignment of complex data-paths suited for high throughput applications. ICCAD 1989: 108-111 - 1988
- [j2]Francky Catthoor, Hugo De Man, Joos Vandewalle:
SAMURAI: A general and efficient simulated-annealing schedule with fully adaptive annealing parameters. Integr. 6(2): 147-178 (1988) - [j1]Francky Catthoor, Jan M. Rabaey, Gert Goossens, Jef L. van Meerbergen, Rajeev Jain, Hugo J. De Man, Joos Vandewalle:
Architectural strategies for an application-specific synchronous multiprocessor environment. IEEE Trans. Acoust. Speech Signal Process. 36(2): 265-284 (1988) - [c2]Francky Catthoor, Lawrence O'Gorman, Rajeev Jain:
An ASIC architecture for contour line filtering. ICASSP 1988: 1056-1059 - [c1]Francky Catthoor, Hugo De Man:
Customized architectural methodologies for high-speed image and video processing. ICASSP 1988: 1985-1988
Coauthor Index
aka: Mohammed Javed Absar
aka: José L. Ayala
aka: Miguel Miranda
aka: José Ignacio Gómez Pérez
aka: Costas E. Goutis
aka: Jos A. Huisken
aka: Daniël Kraak
aka: Jeff Krichmar
aka: Halil Kükner
aka: Min (Leon) Li
aka: Stelios Mamagkakis
aka: Hugo J. De Man
aka: Pol Marchal
aka: Konstantinos Masselos
aka: José M. Mendías
aka: Alberto García-Ortiz
aka: Mehdi B. Tahoori
aka: Antonios Thanailakis
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-22 20:39 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint