default search action
Nikil D. Dutt
Person information
- affiliation: University of California, Irvine, Department of Computer Science, CA, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j185]Caio Batista de Melo, Marzieh Ashrafiamiri, Minjun Seo, Fadi J. Kurdahi, Nikil D. Dutt:
SAFER: Safety Assurances for Emergent Behavior. IEEE Des. Test 41(4): 17-25 (2024) - [j184]Ping-Xiang Chen, Dongjoo Seo, Changhoon Sung, Jongheum Park, Minchul Lee, Huaicheng Li, Matias Bjørling, Nikil D. Dutt:
ZoneTrace: Zone Monitoring Tool for F2FS on ZNS SSDs. ACM Trans. Design Autom. Electr. Syst. 29(5): 1-15 (2024) - [c367]Saehanseul Yi, Nikil D. Dutt:
BoostIID: Fault-agnostic Online Detection of WCET Changes in Autonomous Driving. ASPDAC 2024: 704-709 - [c366]Hamidreza Alikhani, Anil Kanduri, Pasi Liljeberg, Amir M. Rahmani, Nikil D. Dutt:
Work-in-Progress: Context and Noise Aware Resilience for Autonomous Driving Applications. CODES+ISSS 2024: 6 - [c365]Danny Abraham, Biswadip Maity, Bryan Donyanavard, Nikil D. Dutt:
Back to the Future: Reversible Runtime Neural Network Pruning for Safe Autonomous Systems. DATE 2024: 1-6 - [c364]Hamidreza Alikhani, Ziyu Wang, Anil Kanduri, Pasi Liljeberg, Amir M. Rahmani, Nikil D. Dutt:
SEAL: Sensing Efficient Active Learning on Wearables through Context-awareness. DATE 2024: 1-2 - [c363]Ping-Xiang Chen, Dongjoo Seo, Biswadip Maity, Nikil D. Dutt:
KDTree-SOM: Self-organizing Map based Anomaly Detection for Lightweight Autonomous Embedded Systems. ACM Great Lakes Symposium on VLSI 2024: 700-705 - [c362]Dongjoo Seo, Yongsoo Joo, Nikil D. Dutt:
Improving Virtualized I/O Performance by Expanding the Polled I/O Path of Linux. HotStorage 2024 - [c361]Hamidreza Alikhani, Ziyu Wang, Anil Kanduri, Pasi Liljeberg, Amir M. Rahmani, Nikil D. Dutt:
EA^2: Energy Efficient Adaptive Active Learning for Smart Wearables. ISLPED 2024: 1-6 - [c360]Mattia Giovanni Campana, Nikil D. Dutt:
Message from the DM-SmartHealth 2024 Co-Chairs; SMARTCOMP 2024. SMARTCOMP 2024: xx - [i47]Seyed Amir Hossein Aqajari, Sina Labbaf, Phuc Hoang Tran, Brenda Nguyen, Milad Asgari Mehrabadi, Marco Levorato, Nikil D. Dutt, Amir M. Rahmani:
Context-Aware Stress Monitoring using Wearable and Mobile Technologies in Everyday Settings. CoRR abs/2401.05367 (2024) - [i46]Zhongqi Yang, Yuning Wang, Ken S. Yamashita, Maryam Sabah, Elahe Khatibi, Iman Azimi, Nikil D. Dutt, Jessica L. Borelli, Amir M. Rahmani:
Integrating Wearable Sensor Data and Self-reported Diaries for Personalized Affect Forecasting. CoRR abs/2403.13841 (2024) - [i45]Rajat Bhattacharjya, Arnab Sarkar, Biswadip Maity, Nikil D. Dutt:
MUSIC-lite: Efficient MUSIC using Approximate Computing: An OFDM Radar Case Study. CoRR abs/2407.04849 (2024) - [i44]Seyed Amir Hossein Aqajari, Ziyu Wang, Ali Tazarv, Sina Labbaf, Salar Jafarlou, Brenda Nguyen, Nikil D. Dutt, Marco Levorato, Amir M. Rahmani:
Enhancing Performance and User Engagement in Everyday Stress Monitoring: A Context-Aware Active Reinforcement Learning Approach. CoRR abs/2407.08215 (2024) - [i43]Zhongqi Yang, Iman Azimi, Salar Jafarlou, Sina Labbaf, Brenda Nguyen, Hana Qureshi, Christopher Marcotullio, Jessica L. Borelli, Nikil D. Dutt, Amir M. Rahmani:
Loneliness Forecasting Using Multi-modal Wearable and Mobile Sensing in Everyday Settings. CoRR abs/2410.00020 (2024) - 2023
- [j183]Caio Batista de Melo, Nikil D. Dutt:
LOCoCAT: Low-Overhead Classification of CAN Bus Attack Types. IEEE Embed. Syst. Lett. 15(4): 178-181 (2023) - [j182]Hamidreza Alikhani, Anil Kanduri, Pasi Liljeberg, Amir M. Rahmani, Nikil D. Dutt:
DynaFuse: Dynamic Fusion for Resource Efficient Multimodal Machine Learning Inference. IEEE Embed. Syst. Lett. 15(4): 222-225 (2023) - [j181]Sina Labbaf, Mahyar Abbasian, Iman Azimi, Nikil D. Dutt, Amir M. Rahmani:
ZotCare: a flexible, personalizable, and affordable mhealth service provider. Frontiers Digit. Health 5 (2023) - [j180]Emad Kasaeyan Naeini, Fatemeh Sarhaddi, Iman Azimi, Pasi Liljeberg, Nikil D. Dutt, Amir M. Rahmani:
A Deep Learning-based PPG Quality Assessment Approach for Heart Rate and Heart Rate Variability. ACM Trans. Comput. Heal. 4(4): 24:1-24:22 (2023) - [j179]Saehanseul Yi, Tae-Wook Kim, Jong-Chan Kim, Nikil D. Dutt:
EASYR: Energy-Efficient Adaptive System Reconfiguration for Dynamic Deadlines in Autonomous Driving on Multicore Processors. ACM Trans. Embed. Comput. Syst. 22(3): 52:1-52:29 (2023) - [j178]Adarsha Balaji, Phu Khanh Huynh, Francky Catthoor, Nikil D. Dutt, Jeffrey L. Krichmar, Anup Das:
NeuSB: A Scalable Interconnect Architecture for Spiking Neuromorphic Hardware. IEEE Trans. Emerg. Top. Comput. 11(2): 373-387 (2023) - [c359]Elahe Khatibi, Mahyar Abbasian, Iman Azimi, Sina Labbaf, Mohammad Feli, Jessica L. Borelli, Nikil D. Dutt, Amir M. Rahmani:
Impact of COVID-19 Pandemic on Sleep Including HRV and Physical Activity as Mediators: A Causal ML Approach. BSN 2023: 1-4 - [c358]Zhongqi Yang, Iman Azimi, Salar Jafarlou, Sina Labbaf, Jessica L. Borelli, Nikil D. Dutt, Amir M. Rahmani:
Loneliness Forecasting Using Multi-modal Wearable and Mobile Sensing in Everyday Settings. BSN 2023: 1-4 - [c357]Ali Tazarv, Sina Labbaf, Amir-Mohammad Rahmani, Nikil D. Dutt, Marco Levorato:
Active Reinforcement Learning for Personalized Stress Monitoring in Everyday Settings. CHASE 2023: 44-55 - [c356]Bryan Donyanavard, Nikil D. Dutt, Biswadip Maity, Parth Malani, Tiago Mück:
Tutorial: MARS: A Framework for Runtime Monitoring, Modeling, and Management of Realtime Systems. CODES+ISSS 2023: 3-4 - [c355]Nikil D. Dutt, Bryan Donyanavard:
Lightning Talk: The New Era of Computational Cognitive Intelligence. DAC 2023: 1-2 - [c354]Lukas Esterle, Nikil D. Dutt, Christian Gruhl, Peter R. Lewis, Lucio Marcenaro, Carlo S. Regazzoni, Axel Jantsch:
Self-awareness in Cyber-Physical Systems: Recent Developments and Open Challenges. DATE 2023: 1-6 - [c353]Nora Sperling, Alex Bendrick, Dominik Stöhrmann, Rolf Ernst, Bryan Donyanavard, Florian Maurer, Oliver Lenke, Anmol Surhonne, Andreas Herkersdorf, Walaa Amer, Caio Batista de Melo, Ping-Xiang Chen, Quang Anh Hoang, Rachid Karami, Biswadip Maity, Paul Nikolian, Mariam Rakka, Dongjoo Seo, Saehanseul Yi, Minjun Seo, Nikil D. Dutt, Fadi J. Kurdahi:
Information Processing Factory 2.0 - Self-awareness for Autonomous Collaborative Systems. DATE 2023: 1-6 - [c352]Rajat Bhattacharjya, Biswadip Maity, Nikil D. Dutt:
Locate: Low-Power Viterbi Decoder Exploration using Approximate Adders. ACM Great Lakes Symposium on VLSI 2023: 409-413 - [c351]Dongjoo Seo, Ping-Xiang Chen, Huaicheng Li, Matias Bjørling, Nikil D. Dutt:
Is Garbage Collection Overhead Gone? Case study of F2FS on ZNS SSDs. HotStorage 2023: 102-108 - [c350]Renira Soares, Matheus Isquierdo, Felipe Sampaio, Amir Rahmani, Nikil D. Dutt, Guilherme Corrêa, Daniel Palomino, Bruno Zatt:
Error Resilience Evaluation of Approximate Storage in the Motion Compensation of VVC Decoders. LASCAS 2023: 1-4 - [c349]Raghav Mehrotra-Venkat, Nikil D. Dutt, Julie Rousseau:
Robust Detection of Social Isolation in Older Adults by Combining Biometrics with Social Interaction Data. SMARTCOMP 2023: 230-232 - [i42]Rajat Bhattacharjya, Biswadip Maity, Nikil D. Dutt:
Locate: Low-Power Viterbi Decoder Exploration using Approximate Adders. CoRR abs/2304.03257 (2023) - [i41]Ali Tazarv, Sina Labbaf, Amir M. Rahmani, Nikil D. Dutt, Marco Levorato:
Active Reinforcement Learning for Personalized Stress Monitoring in Everyday Settings. CoRR abs/2305.00111 (2023) - [i40]Sina Labbaf, Mahyar Abbasian, Iman Azimi, Nikil D. Dutt, Amir M. Rahmani:
ZotCare: A Flexible, Personalizable, and Affordable mHealth Service Provider. CoRR abs/2307.01905 (2023) - [i39]Manoj Vishwanath, Steven Cao, Nikil D. Dutt, Amir M. Rahmani, Miranda M. Lim, Hung Cao:
Reducing Intraspecies and Interspecies Covariate Shift in Traumatic Brain Injury EEG of Humans and Mice Using Transfer Euclidean Alignment. CoRR abs/2310.02398 (2023) - [i38]Neil Thanawala, Hamid Nejatollahi, Nikil D. Dutt:
Accelerating Polynomial Multiplication for RLWE using Pipelined FFT. IACR Cryptol. ePrint Arch. 2023: 1815 (2023) - 2022
- [j177]Amir M. Rahmani, Jocelyn Lai, Salar Jafarlou, Iman Azimi, Asal Yunusova, Alex. P. Rivera, Sina Labbaf, Arman Anzanpour, Nikil D. Dutt, Ramesh C. Jain, Jessica L. Borelli:
Personal mental health navigator: Harnessing the power of data, personal models, and health cybernetics to promote psychological well-being. Frontiers Digit. Health 4 (2022) - [j176]Sina Shahhosseini, Arman Anzanpour, Iman Azimi, Sina Labbaf, Dongjoo Seo, Sung-Soo Lim, Pasi Liljeberg, Nikil D. Dutt, Amir M. Rahmani:
Exploring computation offloading in IoT systems. Inf. Syst. 107: 101860 (2022) - [j175]Sina Shahhosseini, Dongjoo Seo, Anil Kanduri, Tianyi Hu, Sung-Soo Lim, Bryan Donyanavard, Amir M. Rahmani, Nikil D. Dutt:
Online Learning for Orchestration of Inference in Multi-user End-edge-cloud Networks. ACM Trans. Embed. Comput. Syst. 21(6): 73:1-73:25 (2022) - [j174]Eberle A. Rambo, Bryan Donyanavard, Minjun Seo, Florian Maurer, Thawra Kadeed, Caio Batista de Melo, Biswadip Maity, Anmol Surhonne, Andreas Herkersdorf, Fadi J. Kurdahi, Nikil D. Dutt, Rolf Ernst:
The Self-Aware Information Processing Factory Paradigm for Mixed-Critical Multiprocessing. IEEE Trans. Emerg. Top. Comput. 10(1): 250-266 (2022) - [j173]Twisha Titirsha, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic Hardware. IEEE Trans. Parallel Distributed Syst. 33(2): 288-301 (2022) - [c348]Emad Kasaeyan Naeini, Sina Shahhosseini, Anil Kanduri, Pasi Liljeberg, Amir M. Rahmani, Nikil D. Dutt:
AMSER: Adaptive Multimodal Sensing for Energy Efficient and Resilient eHealth Systems. DATE 2022: 1455-1460 - [c347]Salar Jafarlou, Amir M. Rahmani, Nikil D. Dutt, Sanaz Rahimi Moosavi:
ECG Biosignal Deidentification Using Conditional Generative Adversarial Networks. EMBC 2022: 1366-1370 - [c346]Milad Asgari Mehrabadi, Seyed Amir Hossein Aqajari, Amir Hosein Afandizadeh Zargari, Nikil D. Dutt, Amir M. Rahmani:
Novel Blood Pressure Waveform Reconstruction from Photoplethysmography using Cycle Generative Adversarial Networks. EMBC 2022: 1906-1909 - [c345]Manoj Vishwanath, Nikil D. Dutt, Amir M. Rahmani, Miranda M. Lim, Hung Cao:
Label Alignment Improves EEG-based Machine Learning-based Classification of Traumatic Brain Injury. EMBC 2022: 3546-3549 - [c344]Sina Shahhosseini, Yang Ni, Emad Kasaeyan Naeini, Mohsen Imani, Amir M. Rahmani, Nikil D. Dutt:
Flexible and Personalized Learning for Wearable Health Applications using HyperDimensional Computing. ACM Great Lakes Symposium on VLSI 2022: 357-360 - [c343]Khuong Vo, Manoj Vishwanath, Ramesh Srinivasan, Nikil D. Dutt, Hung Cao:
Composing Graphical Models with Generative Adversarial Networks for EEG Signal Modeling. ICASSP 2022: 1231-1235 - [c342]Mariam Issa, Sina Shahhosseini, Yang Ni, Tianyi Hu, Danny Abraham, Amir M. Rahmani, Nikil D. Dutt, Mohsen Imani:
Hyperdimensional Hybrid Learning on End-Edge-Cloud Networks. ICCD 2022: 652-655 - [c341]Lars Niedermeier, Kexin Chen, Jinwei Xing, Anup Das, Jeffrey Kopsick, Eric Scott, Nate Sutton, Killian Weber, Nikil D. Dutt, Jeffrey L. Krichmar:
CARLsim 6: An Open Source Library for Large-Scale, Biologically Detailed Spiking Neural Network Simulation. IJCNN 2022: 1-10 - [c340]Sina Shahhosseini, Tianyi Hu, Dongjoo Seo, Anil Kanduri, Bryan Donyanavard, Amir M. Rahmani, Nikil D. Dutt:
Hybrid Learning for Orchestrating Deep Learning Inference in Multi-user Edge-cloud Networks. ISQED 2022: 1-6 - [c339]Dongjoo Seo, Biswadip Maity, Ping-Xiang Chen, Dukyoung Yun, Bryan Donyanavard, Nikil D. Dutt:
ProSwap: Period-aware Proactive Swapping to Maximize Embedded Application Performance. NAS 2022: 1-4 - [c338]Mingoo Ji, Saehanseul Yi, Changjin Koo, Sol Ahn, Dongjoo Seo, Nikil D. Dutt, Jong-Chan Kim:
Demand Layering for Real-Time DNN Inference with Minimized Memory Usage. RTSS 2022: 291-304 - [c337]Delaram Amiri, Janne Takalo-Mattila, Luca Bedogni, Marco Levorato, Nikil D. Dutt:
SIC-EDGE: Semantic Iterative ECG Compression for Edge-Assisted Wearable Systems. WoWMoM 2022: 377-385 - [i37]Milad Asgari Mehrabadi, Seyed Amir Hossein Aqajari, Amir Hosein Afandizadeh Zargari, Nikil D. Dutt, Amir M. Rahmani:
Novel Blood Pressure Waveform Reconstruction from Photoplethysmography using Cycle Generative Adversarial Networks. CoRR abs/2201.09976 (2022) - [i36]Salar Jafarlou, Jocelyn Lai, Zahra Mousavi, Sina Labbaf, Ramesh C. Jain, Nikil D. Dutt, Jessica L. Borelli, Amir M. Rahmani:
Objective Prediction of Tomorrow's Affect Using Multi-Modal Physiological Data and Personal Chronicles: A Study of Monitoring College Student Well-being in 2020. CoRR abs/2201.11230 (2022) - [i35]Sina Shahhosseini, Dongjoo Seo, Anil Kanduri, Tianyi Hu, Sung-Soo Lim, Bryan Donyanavard, Amir M. Rahmani, Nikil D. Dutt:
Online Learning for Orchestration of Inference in Multi-User End-Edge-Cloud Networks. CoRR abs/2202.10541 (2022) - [i34]Sina Shahhosseini, Tianyi Hu, Dongjoo Seo, Anil Kanduri, Bryan Donyanavard, Amir M. Rahmani, Nikil D. Dutt:
Hybrid Learning for Orchestrating Deep Learning Inference in Multi-user Edge-cloud Networks. CoRR abs/2202.11098 (2022) - [i33]Sina Shahhosseini, Yang Ni, Hamidreza Alikhani, Emad Kasaeyan Naeini, Mohsen Imani, Nikil D. Dutt, Amir M. Rahmani:
Efficient Personalized Learning for Wearable Health Applications using HyperDimensional Computing. CoRR abs/2208.01095 (2022) - [i32]Anil Kanduri, Sina Shahhosseini, Emad Kasaeyan Naeini, Hamidreza Alikhani, Pasi Liljeberg, Nikil D. Dutt, Amir M. Rahmani:
Edge-centric Optimization of Multi-modal ML-driven eHealth Applications. CoRR abs/2208.02597 (2022) - [i31]Mingoo Ji, Saehanseul Yi, Changjin Koo, Sol Ahn, Dongjoo Seo, Nikil D. Dutt, Jong-Chan Kim:
Demand Layering for Real-Time DNN Inference with Minimized Memory Usage. CoRR abs/2210.04024 (2022) - 2021
- [j172]Tianyi Zhang, Minjun Seo, Bryan Donyanavard, Nikil D. Dutt, Fadi J. Kurdahi:
Predicting Failures in Embedded Systems Using Long Short-Term Inference. IEEE Embed. Syst. Lett. 13(3): 85-89 (2021) - [j171]Adarsha Balaji, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial Decomposition. IEEE Embed. Syst. Lett. 13(3): 142-145 (2021) - [j170]Shihao Song, Jui Hanamshet, Adarsha Balaji, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Dynamic Reliability Management in Neuromorphic Computing. ACM J. Emerg. Technol. Comput. Syst. 17(4): 63:1-63:27 (2021) - [j169]Fatemeh Sarhaddi, Iman Azimi, Sina Labbaf, Hannakaisa Niela-Vilén, Nikil D. Dutt, Anna Axelin, Pasi Liljeberg, Amir M. Rahmani:
Long-Term IoT-Based Maternal Monitoring: System Design and Evaluation. Sensors 21(7): 2281 (2021) - [j168]Biswadip Maity, Bryan Donyanavard, Anmol Surhonne, Amir M. Rahmani, Andreas Herkersdorf, Nikil D. Dutt:
SEAMS: Self-Optimizing Runtime Manager for Approximate Memory Hierarchies. ACM Trans. Embed. Comput. Syst. 20(5): 48:1-48:26 (2021) - [j167]Biswadip Maity, Saehanseul Yi, Dongjoo Seo, Leming Cheng, Sung-Soo Lim, Jong-Chan Kim, Bryan Donyanavard, Nikil D. Dutt:
Chauffeur: Benchmark Suite for Design and End-to-End Analysis of Self-Driving Vehicles on Embedded Systems. ACM Trans. Embed. Comput. Syst. 20(5s): 74:1-74:22 (2021) - [j166]Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim:
An Interpretable Machine Learning Model Enhanced Integrated CPU-GPU DVFS Governor. ACM Trans. Embed. Comput. Syst. 20(6): 108:1-108:28 (2021) - [j165]Hamid Nejatollahi, Sina Shahhosseini, Rosario Cammarota, Nikil D. Dutt:
Exploring Energy Efficient Architectures for RLWE Lattice-Based Cryptography. J. Signal Process. Syst. 93(10): 1139-1148 (2021) - [c336]Sina Shahhosseini, Anil Kanduri, Milad Asgari Mehrabadi, Emad Kasaeyan Naeini, Dongjoo Seo, Sung-Soo Lim, Amir M. Rahmani, Nikil D. Dutt:
Towards Smart and Efficient Health Monitoring Using Edge-enabled Situational-awareness. AICAS 2021: 1-4 - [c335]Seyed Amir Hossein Aqajari, Emad Kasaeyan Naeini, Milad Asgari Mehrabadi, Sina Labbaf, Nikil D. Dutt, Amir M. Rahmani:
pyEDA: An Open-Source Python Toolkit for Pre-processing and Feature Extraction of Electrodermal Activity. ANT/EDI40 2021: 99-106 - [c334]Bryan Donyanavard, Tiago Mück, Kasra Moazzemi, Biswadip Maity, Caio Batista de Melo, Kenneth Michael Stewart, Saehanseul Yi, Amir M. Rahmani, Nikil D. Dutt:
Reflecting on Self-Aware Systems-on-Chip. A Journey of Embedded and Cyber-Physical Systems 2021: 79-95 - [c333]Milad Asgari Mehrabadi, Seyed Amir Hossein Aqajari, Iman Azimi, Charles A. Downs, Nikil D. Dutt, Amir M. Rahmani:
Detection of COVID-19 Using Heart Rate and Blood Pressure: Lessons Learned from Patients with ARDS. EMBC 2021: 2140-2143 - [c332]Manoj Vishwanath, Salar Jafarlou, Ikhwan Shin, Nikil D. Dutt, Amir M. Rahmani, Carolyn E. Jones, Miranda M. Lim, Hung Cao:
Investigation of Machine Learning and Deep Learning Approaches for Detection of Mild Traumatic Brain Injury from Human Sleep Electroencephalogram. EMBC 2021: 6134-6137 - [c331]Ali Tazarv, Sina Labbaf, Stephanie M. Reich, Nikil D. Dutt, Amir M. Rahmani, Marco Levorato:
Personalized Stress Monitoring using Wearable Sensors in Everyday Settings. EMBC 2021: 7332-7335 - [c330]Ali Tazarv, Sina Labbaf, Amir M. Rahmani, Nikil D. Dutt, Marco Levorato:
Data Collection and Labeling of Real-Time IoT-Enabled Bio-Signals in Everyday Settings for Mental Health Improvement. GoodIT 2021: 186-191 - [c329]Adarsha Balaji, Shihao Song, Twisha Titirsha, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration with Spiking Neural Networks. ICONS 2021: 10:1-10:9 - [c328]Saehanseul Yi, Tae-Wook Kim, Jong-Chan Kim, Nikil D. Dutt:
Energy-Efficient Adaptive System Reconfiguration for Dynamic Deadlines in Autonomous Driving. ISORC 2021: 96-104 - [c327]Khuong Vo, Emad Kasaeyan Naeini, Amir Naderi, Daniel Jilani, Amir M. Rahmani, Nikil D. Dutt, Hung Cao:
P2E-WGAN: ECG waveform synthesis from PPG with conditional wasserstein generative adversarial networks. SAC 2021: 1030-1036 - [i30]Twisha Titirsha, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic Hardware. CoRR abs/2103.05707 (2021) - [i29]Adarsha Balaji, Shihao Song, Twisha Titirsha, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration with Spiking Neural Networks. CoRR abs/2105.01795 (2021) - [i28]Shihao Song, Jui Hanamshet, Adarsha Balaji, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Nagarajan Kandasamy, Francky Catthoor:
Dynamic Reliability Management in Neuromorphic Computing. CoRR abs/2105.02038 (2021) - [i27]Saehanseul Yi, Tae-Wook Kim, Jong-Chan Kim, Nikil D. Dutt:
Energy-Efficient Adaptive System Reconfiguration for Dynamic Deadlines in Autonomous Driving. CoRR abs/2106.04508 (2021) - [i26]Tiago Mück, Bryan Donyanavard, Biswadip Maity, Kasra Moazzemi, Nikil D. Dutt:
MARS: Middleware for Adaptive Reflective Computer Systems. CoRR abs/2107.11417 (2021) - [i25]Ali Tazarv, Sina Labbaf, Stephanie M. Reich, Nikil D. Dutt, Amir M. Rahmani, Marco Levorato:
Personalized Stress Monitoring using Wearable Sensors in Everyday Settings. CoRR abs/2108.00144 (2021) - [i24]Ali Tazarv, Sina Labbaf, Amir M. Rahmani, Nikil D. Dutt, Marco Levorato:
Data Collection and Labeling of Real-Time IoT-Enabled Bio-Signals in Everyday Settings for Mental Health Improvement. CoRR abs/2108.01169 (2021) - [i23]Emad Kasaeyan Naeini, Sina Shahhosseini, Anil Kanduri, Pasi Liljeberg, Amir M. Rahmani, Nikil D. Dutt:
AMSER: Adaptive Multi-modal Sensing for Energy Efficient and Resilient eHealth Systems. CoRR abs/2112.08176 (2021) - 2020
- [j164]Tai Le, Floranne Ellington, Tao-Yi Lee, Khuong Vo, Michelle Khine, Sandeep Kumar Krishnan, Nikil D. Dutt, Hung Cao:
Continuous Non-Invasive Blood Pressure Monitoring: A Methodological Review on Measurement Techniques. IEEE Access 8: 212478-212498 (2020) - [j163]Biswadip Maity, Majid Shoushtari, Amir M. Rahmani, Nikil D. Dutt:
Self-Adaptive Memory Approximation: A Formal Control Theory Approach. IEEE Embed. Syst. Lett. 12(2): 33-36 (2020) - [j162]Delaram Amiri, Arman Anzanpour, Iman Azimi, Marco Levorato, Pasi Liljeberg, Nikil D. Dutt, Amir M. Rahmani:
Context-Aware Sensing via Dynamic Programming for Edge-Assisted Wearable Systems. ACM Trans. Comput. Heal. 1(2): 7:1-7:25 (2020) - [j161]Nikil D. Dutt, Carlo S. Regazzoni, Bernhard Rinner, Xin Yao:
Self-Awareness for Autonomous Systems. Proc. IEEE 108(7): 971-975 (2020) - [j160]Henry Hoffmann, Axel Jantsch, Nikil D. Dutt:
Embodied Self-Aware Computing Systems. Proc. IEEE 108(7): 1027-1046 (2020) - [j159]Manoj Vishwanath, Salar Jafarlou, Ikhwan Shin, Miranda M. Lim, Nikil D. Dutt, Amir M. Rahmani, Hung Cao:
Investigation of Machine Learning Approaches for Traumatic Brain Injury Classification via EEG Assessment in Mice. Sensors 20(7): 2027 (2020) - [j158]Khuong Vo, Tai Le, Amir M. Rahmani, Nikil D. Dutt, Hung Cao:
An Efficient and Robust Deep Learning Method with 1-D Octave Convolution to Extract Fetal Electrocardiogram. Sensors 20(13): 3757 (2020) - [j157]Amir Mahdi Hosseini Monazzah, Amir M. Rahmani, Antonio Miele, Nikil D. Dutt:
CAST: Content-Aware STT-MRAM Cache Write Management for Different Levels of Approximation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(12): 4385-4398 (2020) - [j156]Daniel Peroni, Mohsen Imani, Hamid Nejatollahi, Nikil D. Dutt, Tajana Rosing:
Data Reuse for Accelerated Approximate Warps. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(12): 4623-4634 (2020) - [j155]Axel Jantsch, Peter R. Lewis, Nikil D. Dutt:
Introduction to the Special Issue on Self-Aware Cyber-physical Systems. ACM Trans. Cyber Phys. Syst. 4(4): 37:1-37:2 (2020) - [j154]Kirstie L. Bellman, Christopher Landauer, Nikil D. Dutt, Lukas Esterle, Andreas Herkersdorf, Axel Jantsch, Nima Taherinejad, Peter R. Lewis, Marco Platzner, Kalle Tammemäe:
Self-aware Cyber-Physical Systems. ACM Trans. Cyber Phys. Syst. 4(4): 38:1-38:26 (2020) - [j153]Hamid Nejatollahi, Felipe Valencia, Subhadeep Banik, Francesco Regazzoni, Rosario Cammarota, Nikil D. Dutt:
Synthesis of Flexible Accelerators for Early Adoption of Ring-LWE Post-quantum Cryptography. ACM Trans. Embed. Comput. Syst. 19(2): 11:1-11:17 (2020) - [j152]Arman Anzanpour, Delaram Amiri, Iman Azimi, Marco Levorato, Nikil D. Dutt, Pasi Liljeberg, Amir M. Rahmani:
Edge-Assisted Control for Healthcare Internet of Things: A Case Study on PPG-Based Early Warning Score. ACM Trans. Internet Things 2(1): 1:1-1:21 (2020) - [j151]Adarsha Balaji, Francky Catthoor, Anup Das, Yuefeng Wu, Khanh Huynh, Francesco Dell'Anna, Giacomo Indiveri, Jeffrey L. Krichmar, Nikil D. Dutt, Siebren Schaafsma:
Mapping Spiking Neural Networks to Neuromorphic Hardware. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 76-86 (2020) - [c326]Lucretia Williams, Gillian R. Hayes, Yuqing Guo, Amir M. Rahmani, Nikil D. Dutt:
HCI and mHealth Wearable Tech: A Multidisciplinary Research Challenge. CHI Extended Abstracts 2020: 1-7 - [c325]Dongjoo Seo, Sina Shahhosseini, Milad Asgari Mehrabadi, Bryan Donyanavard, Sung-Soo Lim, Amir M. Rahmani, Nikil D. Dutt:
Dynamic iFogSim: A Framework for Full-Stack Simulation of Dynamic Resource Management in IoT Systems. COINS 2020: 1-6 - [c324]Hamid Nejatollahi, Saransh Gupta, Mohsen Imani, Tajana Simunic Rosing, Rosario Cammarota, Nikil D. Dutt:
CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware. DAC 2020: 1-6 - [c323]Florian Maurer, Bryan Donyanavard, Amir M. Rahmani, Nikil D. Dutt, Andreas Herkersdorf:
Emergent Control of MPSoC Operation by a Hierarchical Supervisor / Reinforcement Learning Approach. DATE 2020: 1562-1567 - [c322]Manoj Vishwanath, Salar Jafarlou, Ikhwan Shin, Nikil D. Dutt, Amir M. Rahmani, Miranda M. Lim, Hung Cao:
Classification of Electroencephalogram in a Mouse Model of Traumatic Brain Injury Using Machine Learning Approaches*. EMBC 2020: 3335-3338 - [c321]Biswadip Maity, Bryan Donyanavard, Nikil D. Dutt:
Self-aware Memory Management for Emerging Energy-efficient Architectures. IGSC (Workshops) 2020: 1-8 - [c320]Hamid Nejatollahi, Sina Shahhosseini, Rosario Cammarota, Nikil D. Dutt:
Exploring Energy Efficient Quantum-resistant Signal Processing Using Array Processors. ICASSP 2020: 1539-1543 - [c319]Adarsha Balaji, Prathyusha Adiraju, Hirak Jyoti Kashyap, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Francky Catthoor:
PyCARL: A PyNN Interface for Hardware-Software Co-Simulation of Spiking Neural Network. IJCNN 2020: 1-10 - [c318]Tao-Yi Lee, Khuong Vo, Wongi Baek, Michelle Khine, Nikil D. Dutt:
STINT: selective transmission for low-energy physiological monitoring. ISLPED 2020: 115-120 - [c317]Wonseok Jang, Hansaem Jeong, Kyungtae Kang, Nikil D. Dutt, Jong-Chan Kim:
R-TOD: Real-Time Object Detector with Minimized End-to-End Delay for Autonomous Driving. RTSS 2020: 191-204 - [c316]Juho Laitala, Mingzhe Jiang, Elise Syrjälä, Emad Kasaeyan Naeini, Antti Airola, Amir M. Rahmani, Nikil D. Dutt, Pasi Liljeberg:
Robust ECG R-peak detection using LSTM. SAC 2020: 1104-1111 - [i22]Adarsha Balaji, Prathyusha Adiraju, Hirak Jyoti Kashyap, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, Francky Catthoor:
PyCARL: A PyNN Interface for Hardware-Software Co-Simulation of Spiking Neural Network. CoRR abs/2003.09696 (2020) - [i21]Seyed Amir Hossein Aqajari, Emad Kasaeyan Naeini, Milad Asgari Mehrabadi, Sina Labbaf, Amir M. Rahmani, Nikil D. Dutt:
GSR Analysis for Stress: Development and Validation of an Open Source Tool for Noisy Naturalistic GSR Data. CoRR abs/2005.01834 (2020) - [i20]Milad Asgari Mehrabadi, Nikil D. Dutt, Amir M. Rahmani:
The Causality Inference of Public Interest in Restaurants and Bars on COVID-19 Daily Cases in the US: A Google Trends Analysis. CoRR abs/2007.13255 (2020) - [i19]Bryan Donyanavard, Amir M. Rahmani, Axel Jantsch, Onur Mutlu, Nikil D. Dutt:
Intelligent Management of Mobile Systems through Computational Self-Awareness. CoRR abs/2008.00095 (2020) - [i18]Rosario Cammarota, Matthias Schunter, Anand Rajan, Fabian Boemer, Ágnes Kiss, Amos Treiber, Christian Weinert, Thomas Schneider, Emmanuel Stapf, Ahmad-Reza Sadeghi, Daniel Demmler, Huili Chen, Siam Umar Hussain, M. Sadegh Riazi, Farinaz Koushanfar, Saransh Gupta, Tajana Simunic Rosing, Kamalika Chaudhuri, Hamid Nejatollahi, Nikil D. Dutt, Mohsen Imani, Kim Laine, Anuj Dubey, Aydin Aysu, Fateme Sadat Hosseini, Chengmo Yang, Eric Wallace, Pamela Norton:
Trustworthy AI Inference Systems: An Industry Research View. CoRR abs/2008.04449 (2020) - [i17]Adarsha Balaji, Shihao Song, Anup Das, Jeffrey L. Krichmar, Nikil D. Dutt, James A. Shackleford, Nagarajan Kandasamy, Francky Catthoor:
Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial Decomposition. CoRR abs/2009.09298 (2020) - [i16]Wonseok Jang, Hansaem Jeong, Kyungtae Kang, Nikil D. Dutt, Jong-Chan Kim:
R-TOD: Real-Time Object Detector with Minimized End-to-End Delay for Autonomous Driving. CoRR abs/2011.06372 (2020) - [i15]Biswadip Maity, Bryan Donyanavard, Anmol Surhonne, Amir M. Rahmani, Andreas Herkersdorf, Nikil D. Dutt:
AXES: Approximation Manager for Emerging Memory Architectures. CoRR abs/2011.08353 (2020) - [i14]Milad Asgari Mehrabadi, Seyed Amir Hossein Aqajari, Iman Azimi, Charles A. Downs, Nikil D. Dutt, Amir M. Rahmani:
Detection of COVID-19 Using Heart Rate and Blood Pressure: Lessons Learned from Patients with ARDS. CoRR abs/2011.10470 (2020) - [i13]Amir M. Rahmani, Jocelyn Lai, Salar Jafarlou, Asal Yunusova, Alex. P. Rivera, Sina Labbaf, Sirui Hu, Arman Anzanpour, Nikil D. Dutt, Ramesh C. Jain, Jessica L. Borelli:
Personal Mental Health Navigator: Harnessing the Power of Data, Personal Models, and Health Cybernetics to Promote Psychological Well-being. CoRR abs/2012.09131 (2020) - [i12]Hamid Nejatollahi, Saransh Gupta, Mohsen Imani, Tajana Simunic Rosing, Rosario Cammarota, Nikil D. Dutt:
CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware. IACR Cryptol. ePrint Arch. 2020: 276 (2020)
2010 – 2019
- 2019
- [j150]Iman Azimi, Olugbenga Oti, Sina Labbaf, Hannakaisa Niela-Vilén, Anna Axelin, Nikil D. Dutt, Pasi Liljeberg, Amir M. Rahmani:
Personalized Maternal Sleep Quality Assessment: An Objective IoT-based Longitudinal Study. IEEE Access 7: 93433-93447 (2019) - [j149]Adarsha Balaji, Shihao Song, Anup Das, Nikil D. Dutt, Jeff Krichmar, Nagarajan Kandasamy, Francky Catthoor:
A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing. IEEE Comput. Archit. Lett. 18(2): 149-152 (2019) - [j148]Hamid Nejatollahi, Nikil D. Dutt, Sandip Ray, Francesco Regazzoni, Indranil Banerjee, Rosario Cammarota:
Post-Quantum Lattice-Based Cryptography Implementations: A Survey. ACM Comput. Surv. 51(6): 129:1-129:41 (2019) - [j147]Antonio Miele, Anil Kanduri, Kasra Moazzemi, Dávid Juhász, Amir-Mohammad Rahmani, Nikil D. Dutt, Pasi Liljeberg, Axel Jantsch:
On-Chip Dynamic Resource Management. Found. Trends Electron. Des. Autom. 13(1-2): 1-14 (2019) - [j146]Gu-Min Jeong, Kyoungwoo Lee, Sang-Il Choi, Sang-Hoon Ji, Nikil D. Dutt:
Effect of Soft Errors in Iterative Learning Control and Compensation using Cross-layer Approach. Int. J. Comput. Commun. Control 14(3): 359-374 (2019) - [j145]André Luís Del Mestre Martins, Rafael Garibotti, Nikil D. Dutt, Fernando Gehm Moraes:
The power impact of hardware and software actuators on self-adaptable many-core systems. J. Syst. Archit. 97: 42-53 (2019) - [j144]André Luís Del Mestre Martins, Alzemiro Henrique Lucas da Silva, Amir M. Rahmani, Nikil D. Dutt, Fernando Gehm Moraes:
Hierarchical adaptive Multi-objective resource management for many-core systems. J. Syst. Archit. 97: 416-427 (2019) - [j143]Michael Beyeler, Emily L. Rounds, Kristofor D. Carlson, Nikil D. Dutt, Jeffrey L. Krichmar:
Neural correlates of sparse coding and dimensionality reduction. PLoS Comput. Biol. 15(6) (2019) - [j142]Lei Yang, Weichen Liu, Nan Guan, Nikil D. Dutt:
Optimal Application Mapping and Scheduling for Network-on-Chips with Computation in STT-RAM Based Router. IEEE Trans. Computers 68(8): 1174-1189 (2019) - [j141]Kasra Moazzemi, Biswadip Maity, Saehanseul Yi, Amir M. Rahmani, Nikil D. Dutt:
HESSLE-FREE: <u>He</u>terogeneou<u>s</u> <u>S</u>ystems <u>Le</u>veraging <u>F</u>uzzy Control for <u>R</u>untim<u>e</u> Resourc<u>e</u> Management. ACM Trans. Embed. Comput. Syst. 18(5s): 74:1-74:19 (2019) - [c315]Arman Anzanpour, Humayun Rashid, Amir M. Rahmani, Axel Jantsch, Nikil D. Dutt, Pasi Liljeberg:
Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management. ANT/EDI40 2019: 493-500 - [c314]Emad Kasaeyan Naeini, Iman Azimi, Amir M. Rahmani, Pasi Liljeberg, Nikil D. Dutt:
A Real-time PPG Quality Assessment Approach for Healthcare Internet-of-Things. ANT/EDI40 2019: 551-558 - [c313]Emad Kasaeyan Naeini, Sina Shahhosseini, Ajan Subramanian, Tingjue Yin, Amir M. Rahmani, Nikil D. Dutt:
An Edge-Assisted and Smart System for Real-Time Pain Monitoring. CHASE 2019: 47-52 - [c312]Eberle A. Rambo, Thawra Kadeed, Rolf Ernst, Minjun Seo, Fadi J. Kurdahi, Bryan Donyanavard, Caio Batista de Melo, Biswadip Maity, Kasra Moazzemi, Kenneth Michael Stewart, Saehanseul Yi, Amir M. Rahmani, Nikil D. Dutt, Florian Maurer, Nguyen Anh Vu Doan, Anmol Surhonne, Thomas Wild, Andreas Herkersdorf:
The information processing factory: a paradigm for life cycle management of dependable systems. CODES+ISSS 2019: 20:1-20:2 - [c311]Daniel Peroni, Mohsen Imani, Hamid Nejatollahi, Nikil D. Dutt, Tajana Rosing:
ARGA: Approximate Reuse for GPGPU Acceleration. DAC 2019: 8 - [c310]Chen-Ying Hsieh, Ardalan Amiri Sani, Nikil D. Dutt:
The Case for Exploiting Underutilized Resources in Heterogeneous Mobile Architectures. DATE 2019: 1265-1268 - [c309]Elham Shamsa, Anil Kanduri, Amir M. Rahmani, Pasi Liljeberg, Axel Jantsch, Nikil D. Dutt:
Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals. DATE 2019: 1397-1402 - [c308]Tao-Yi Lee, Marco Levorato, Nikil D. Dutt:
DNN-Assisted Sensor for Energy-Efficient ECG Monitoring. GLOBECOM 2019: 1-6 - [c307]Sina Shahhosseini, Iman Azimi, Arman Anzanpour, Axel Jantsch, Pasi Liljeberg, Nikil D. Dutt, Amir M. Rahmani:
Dynamic Computation Migration at the Edge: Is There an Optimal Choice? ACM Great Lakes Symposium on VLSI 2019: 519-524 - [c306]Hamid Nejatollahi, Rosario Cammarota, Nikil D. Dutt:
Flexible NTT Accelerators for RLWE Lattice-Based Cryptography. ICCD 2019: 329-332 - [c305]Biswadip Maity, Bryan Donyanavard, Nalini Venkatasubramanian, Nikil D. Dutt:
Workload Characterization for Memory Management in Emerging Embedded Platforms. IESS 2019: 65-76 - [c304]Kenshu Seto, Hamid Nejatollahi, Jiyoung An, Sujin Kang, Nikil D. Dutt:
Small Memory Footprint Neural Network Accelerators. ISQED 2019: 253-258 - [c303]Bryan Donyanavard, Tiago Mück, Amir M. Rahmani, Nikil D. Dutt, Armin Sadighi, Florian Maurer, Andreas Herkersdorf:
SOSA: Self-Optimizing Learning with Self-Adaptive Control for Hierarchical System-on-Chip Management. MICRO 2019: 685-698 - [c302]Chen-Ying Hsieh, Ardalan Amiri Sani, Nikil D. Dutt:
SURF: Self-aware Unified Runtime Framework for Parallel Programs on Heterogeneous Mobile Architectures. VLSI-SoC 2019: 136-141 - [c301]Chen-Ying Hsieh, Ardalan Amiri Sani, Nikil D. Dutt:
Exploiting Heterogeneous Mobile Architectures Through a Unified Runtime Framework. VLSI-SoC (Selected Papers) 2019: 323-344 - [i11]Eberle A. Rambo, Bryan Donyanavard, Minjun Seo, Florian Maurer, Thawra Kadeed, Caio Batista de Melo, Biswadip Maity, Anmol Surhonne, Andreas Herkersdorf, Fadi J. Kurdahi, Nikil D. Dutt, Rolf Ernst:
The Information Processing Factory: Organization, Terminology, and Definitions. CoRR abs/1907.01578 (2019) - [i10]Delaram Amiri, Arman Anzanpour, Iman Azimi, Amir-Mohammad Rahmani, Pasi Liljeberg, Nikil D. Dutt, Marco Levorato:
Optimizing Energy Efficiency of Wearable Sensors Using Fog-assisted Control. CoRR abs/1907.11989 (2019) - [i9]Adarsha Balaji, Anup Das, Yuefeng Wu, Khanh Huynh, Francesco Dell'Anna, Giacomo Indiveri, Jeffrey L. Krichmar, Nikil D. Dutt, Siebren Schaafsma, Francky Catthoor:
Mapping Spiking Neural Networks to Neuromorphic Hardware. CoRR abs/1909.01843 (2019) - [i8]Adarsha Balaji, Shihao Song, Anup Das, Nikil D. Dutt, Jeffrey L. Krichmar, Nagarajan Kandasamy, Francky Catthoor:
A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing. CoRR abs/1911.00548 (2019) - [i7]Hamid Nejatollahi, Sina Shahhosseini, Rosario Cammarota, Nikil D. Dutt:
Exploring Energy Efficient Quantum-resistant Signal Processing Using Array Processors. IACR Cryptol. ePrint Arch. 2019: 1297 (2019) - 2018
- [j140]Chen-Ying Hsieh, Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim:
MEMCOP: memory-aware co-operative power management governor for mobile games. Des. Autom. Embed. Syst. 22(1-2): 95-116 (2018) - [j139]Nikil D. Dutt, Axel Jantsch:
Guest Editorial: Special Issue on Self-Aware Systems on Chip. IEEE Des. Test 35(5): 5-6 (2018) - [j138]Amir M. Rahmani, Axel Jantsch, Nikil D. Dutt:
HDGM: Hierarchical Dynamic Goal Management for Many-Core Resource Allocation. IEEE Embed. Syst. Lett. 10(3): 61-64 (2018) - [j137]Sina Shahhosseini, Kasra Moazzemi, Amir M. Rahmani, Nikil D. Dutt:
On the feasibility of SISO control-theoretic DVFS for power capping in CMPs. Microprocess. Microsystems 63: 249-258 (2018) - [j136]Anup Das, Paruthi Pradhapan, Willemijn Groenendaal, Prathyusha Adiraju, Raj Thilak Rajan, Francky Catthoor, Siebren Schaafsma, Jeffrey L. Krichmar, Nikil D. Dutt, Chris Van Hoof:
Unsupervised heart-rate estimation in wearables with Liquid states and a probabilistic readout. Neural Networks 99: 134-147 (2018) - [j135]Mischa Möstl, Johannes Schlatow, Rolf Ernst, Nikil D. Dutt, Ahmed Nassar, Amir-Mohammad Rahmani, Fadi J. Kurdahi, Thomas Wild, Armin Sadighi, Andreas Herkersdorf:
Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in CPS. Proc. IEEE 106(9): 1543-1567 (2018) - [j134]Weichen Liu, Lei Yang, Weiwen Jiang, Liang Feng, Nan Guan, Wei Zhang, Nikil D. Dutt:
Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip Based Multiprocessor System-on-Chip. IEEE Trans. Computers 67(12): 1818-1834 (2018) - [j133]Jurn-Gyu Park, Chen-Ying Hsieh, Nikil D. Dutt, Sung-Soo Lim:
Synergistic CPU-GPU Frequency Capping for Energy-Efficient Mobile Games. ACM Trans. Embed. Comput. Syst. 17(2): 45:1-45:24 (2018) - [j132]Majid Shoushtari, Bryan Donyanavard, Luis Angel D. Bathen, Nikil D. Dutt:
ShaVe-ICE: Sharing Distributed Virtualized SPMs in Many-Core Embedded Systems. ACM Trans. Embed. Comput. Syst. 17(2): 47:1-47:25 (2018) - [j131]Tiago Mück, Bryan Donyanavard, Kasra Moazzemi, Amir M. Rahmani, Axel Jantsch, Nikil D. Dutt:
Design Methodology for Responsive and Rrobust MIMO Control of Heterogeneous Multicores. IEEE Trans. Multi Scale Comput. Syst. 4(4): 944-951 (2018) - [c300]Amir M. Rahmani, Bryan Donyanavard, Tiago Mück, Kasra Moazzemi, Axel Jantsch, Onur Mutlu, Nikil D. Dutt:
SPECTR: Formal Supervisory Control and Coordination for Many-core Systems Resource Management. ASPLOS 2018: 169-183 - [c299]Anil Kanduri, Antonio Miele, Amir M. Rahmani, Pasi Liljeberg, Cristiana Bolchini, Nikil D. Dutt:
Approximation-aware coordinated power/performance management for heterogeneous multi-cores. DAC 2018: 68:1-68:6 - [c298]Bryan Donyanavard, Amir M. Rahmani, Tiago Mück, Kasra Moazemmi, Nikil D. Dutt:
Gain scheduled control for nonlinear power management in CMPs. DATE 2018: 921-924 - [c297]Armin Sadighi, Bryan Donyanavard, Thawra Kadeed, Kasra Moazzemi, Tiago Mück, Ahmed Nassar, Amir M. Rahmani, Thomas Wild, Nikil D. Dutt, Rolf Ernst, Andreas Herkersdorf, Fadi J. Kurdahi:
Design methodologies for enabling self-awareness in autonomous systems. DATE 2018: 1532-1537 - [c296]Kasra Moazzemi, Anil Kanduri, David Juhasz, Antonio Miele, Amir M. Rahmani, Pasi Liljeberg, Axel Jantsch, Nikil D. Dutt:
Trends in On-chip Dynamic Resource Management. DSD 2018: 62-69 - [c295]Delaram Amiri, Arman Anzanpour, Iman Azimi, Marco Levorato, Amir M. Rahmani, Pasi Liljeberg, Nikil D. Dutt:
Edge-Assisted Sensor Control in Healthcare IoT. GLOBECOM 2018: 1-6 - [c294]Nikil D. Dutt:
Self-Awareness for Heterogeneous MPSoCs: A Case Study using Adaptive, Reflective Middleware. ACM Great Lakes Symposium on VLSI 2018: 3 - [c293]Ting-Shuo Chou, Hirak Jyoti Kashyap, Jinwei Xing, Stanislav Listopad, Emily L. Rounds, Michael Beyeler, Nikil D. Dutt, Jeffrey L. Krichmar:
CARLsim 4: An Open Source Library for Large Scale, Biologically Detailed Spiking Neural Network Simulation using Heterogeneous Clusters. IJCNN 2018: 1-8 - [c292]Hirak Jyoti Kashyap, Georgios Detorakis, Nikil D. Dutt, Jeffrey L. Krichmar, Emre Neftci:
A Recurrent Neural Network Based Model of Predictive Smooth Pursuit Eye Movement in Primates. IJCNN 2018: 1-8 - [c291]Axel Jantsch, Arman Anzanpour, Hedyeh A. Kholerdi, Iman Azimi, Lydia C. Siafara, Amir M. Rahmani, Nima Taherinejad, Pasi Liljeberg, Nikil D. Dutt:
Hierarchical dynamic goal management for IoT systems. ISQED 2018: 370-375 - [c290]Elham Shamsa, Anil Kanduri, Amir M. Rahmani, Pasi Liljeberg, Axel Jantsch, Nikil D. Dutt:
Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip Resource Allocation. NORCAS 2018: 1-4 - [c289]Brvan Donvanavard, Amir Mahdi Hosseini Monazzah, Nikil D. Dutt, Tiago Mück:
Exploring Hybrid Memory Caches in Chip Multiprocessors. ReCoSoC 2018: 1-8 - [c288]Tiago Mück, Antônio Augusto Fröhlich, Giovani Gracioli, Amir M. Rahmani, João Gabriel Reis, Nikil D. Dutt:
CHIPS-AHOy: a predictable holistic cyber-physical hypervisor for MPSoCs. SAMOS 2018: 73-80 - [c287]Carlos Michel Betemps, Mateus Santos De Melo, Amir M. Rahmani, Antonio Miele, Nikil D. Dutt, Bruno Zatt:
Exploring Heterogeneous Task-Level Parallelism in a BMA Video Coding Application using System-Level Simulation. SBESC 2018: 75-82 - [i6]Hamid Nejatollahi, Nikil D. Dutt, Indranil Banerjee, Rosario Cammarota:
Domain-specific Accelerators for Ideal Lattice-based Public Key Protocols. IACR Cryptol. ePrint Arch. 2018: 608 (2018) - 2017
- [j130]Axel Jantsch, Nikil D. Dutt:
Guest Editorial: Special Issue on Self-Aware Systems on Chip. IEEE Des. Test 34(6): 6-7 (2017) - [j129]Axel Jantsch, Nikil D. Dutt, Amir M. Rahmani:
Self-Awareness in Systems on Chip - A Survey. IEEE Des. Test 34(6): 8-26 (2017) - [j128]Majid Shoushtari, Nikil D. Dutt:
SAM: Software-Assisted Memory Hierarchy for Scalable Manycore Embedded Systems. IEEE Embed. Syst. Lett. 9(4): 109-112 (2017) - [j127]Iman Azimi, Arman Anzanpour, Amir M. Rahmani, Tapio Pahikkala, Marco Levorato, Pasi Liljeberg, Nikil D. Dutt:
HiCH: Hierarchical Fog-Assisted Computing Architecture for Healthcare IoT. ACM Trans. Embed. Comput. Syst. 16(5s): 174:1-174:20 (2017) - [j126]Tiago Rogério Mück, Zana Ghaderi, Nikil D. Dutt, Eli Bozorgzadeh:
Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile Platforms. IEEE Trans. Multi Scale Comput. Syst. 3(1): 25-35 (2017) - [j125]Anil Kanduri, Mohammad Hashem Haghbayan, Amir M. Rahmani, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen, Nikil D. Dutt:
Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications. IEEE Trans. Very Large Scale Integr. Syst. 25(10): 2749-2762 (2017) - [c286]Majid Shoushtari, Amir M. Rahmani, Nikil D. Dutt:
Quality-configurable memory hierarchy through approximation: special session. CASES 2017: 2:1-2:2 - [c285]Bryan Donyanavard, Amir Mahdi Hosseini Monazzah, Tiago Mück, Nikil D. Dutt:
Exploring fast and slow memories in HMP core types: work-in-progress. CODES+ISSS 2017: 4:1-4:2 - [c284]Hamid Nejatollahi, Nikil D. Dutt, Rosario Cammarota:
Trends, challenges and needs for lattice-based cryptography implementations: special session. CODES+ISSS 2017: 6:1-6:3 - [c283]Arman Anzanpour, Iman Azimi, Maximilian Gotzinger, Amir M. Rahmani, Nima Taherinejad, Pasi Liljeberg, Axel Jantsch, Nikil D. Dutt:
Self-awareness in remote health monitoring systems using wearable electronics. DATE 2017: 1056-1061 - [c282]Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim:
ML-Gov: a machine learning enhanced integrated CPU-GPU DVFS governor for mobile gaming. ESTIMedia 2017: 12-21 - [c281]Amir Mahdi Hosseini Monazzah, Majid Shoushtari, Seyed Ghassem Miremadi, Amir M. Rahmani, Nikil D. Dutt:
QuARK: Quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs. ISLPED 2017: 1-6 - [c280]Hananeh Aliee, Abbas BanaiyanMofrad, Michael Glaß, Jürgen Teich, Nikil D. Dutt:
Redundancy-aware Design Space Exploration for Memory Reliability in Many-cores. MBMV 2017: 1-12 - [c279]Nikil D. Dutt, Amir M. Rahmani, Axel Jantsch:
Empowering autonomy through self-awareness in MPSoCs. NEWCAS 2017: 73-76 - [c278]Sina Shahhosseini, Kasra Moazzemi, Amir M. Rahmani, Nikil D. Dutt:
Dependability evaluation of SISO control-theoretic power managers for processor architectures. NORCAS 2017: 1-6 - [c277]Tiago Mück, Bryan Donyanavard, Nikil D. Dutt:
PoIiCym: rapid prototyping of resource management policies for HMPs. RSP 2017: 23-29 - [p5]Santanu Sarma, Nikil D. Dutt:
Architecture and Cross-Layer Design Space Exploration. Handbook of Hardware/Software Codesign 2017: 247-270 - [p4]Young-Hwan Park, Amin Khajeh, Jun Yong Shin, Fadi J. Kurdahi, Ahmed M. Eltawil, Nikil D. Dutt:
Microarchitecture-Level SoC Design. Handbook of Hardware/Software Codesign 2017: 867-913 - [i5]Anup Das, Paruthi Pradhapan, Willemijn Groenendaal, Prathyusha Adiraju, Raj Thilak Rajan, Francky Catthoor, Siebren Schaafsma, Jeffrey L. Krichmar, Nikil D. Dutt, Chris Van Hoof:
Unsupervised Heart-rate Estimation in Wearables With Liquid States and A Probabilistic Readout. CoRR abs/1708.05356 (2017) - [i4]Georgios Detorakis, Sadique Sheik, Charles Augustine, Somnath Paul, Bruno U. Pedroni, Nikil D. Dutt, Jeffrey L. Krichmar, Gert Cauwenberghs, Emre Neftci:
Neural and Synaptic Array Transceiver: A Brain-Inspired Computing Framework for Embedded Learning. CoRR abs/1709.10205 (2017) - 2016
- [j124]Aviral Shrivastava, Nikil D. Dutt, Jian Cai, Majid Shoushtari, Bryan Donyanavard, Hossein Tajik:
Automatic management of Software Programmable Memories in Many-core Architectures. IET Comput. Digit. Tech. 10(6): 288-298 (2016) - [j123]Gu-Min Jeong, Chang-Woo Park, Sang-Il Choi, Kyoungwoo Lee, Nikil D. Dutt:
Robust Face Recognition Against Soft-errors Using a Cross-layer Approach. Int. J. Comput. Commun. Control 11(5): 657-665 (2016) - [j122]Nikil D. Dutt, Axel Jantsch, Santanu Sarma:
Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective. ACM Trans. Embed. Comput. Syst. 15(2): 22:1-22:27 (2016) - [j121]Hossein Tajik, Bryan Donyanavard, Nikil D. Dutt, Janmartin Jahn, Jörg Henkel:
SPMPool: Runtime SPM Management for Memory-Intensive Applications in Embedded Many-Cores. ACM Trans. Embed. Comput. Syst. 16(1): 25:1-25:27 (2016) - [c276]Santanu Sarma, Tiago Mück, Majid Shoushtari, Abbas BanaiyanMofrad, Nikil D. Dutt:
Cross-layer virtual/physical sensing and actuation for resilient heterogeneous many-core SoCs. ASP-DAC 2016: 395-402 - [c275]Bryan Donyanavard, Tiago Mück, Santanu Sarma, Nikil D. Dutt:
SPARTA: runtime task allocation for energy efficient heterogeneous many-cores. CODES+ISSS 2016: 27:1-27:10 - [c274]Nikil D. Dutt, Fadi J. Kurdahi, Rolf Ernst, Andreas Herkersdorf:
Conquering MPSoC complexity with principles of a self-aware information processing factory. CODES+ISSS 2016: 37:1-37:4 - [c273]Hossein Tajik, Bryan Donyanavard, Nikil D. Dutt:
On Detecting and Using Memory Phases in Multimedia Systems. ESTIMedia 2016: 57-66 - [c272]Anil Kanduri, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Axel Jantsch, Nikil D. Dutt, Hannu Tenhunen:
Approximation knob: power capping meets energy efficiency. ICCAD 2016: 122 - [c271]Jurn-Gyu Park, Nikil D. Dutt, Hoyeonjiki Kim, Sung-Soo Lim:
HiCAP: Hierarchical FSM-based Dynamic Integrated CPU-GPU Frequency Capping Governor for Energy-Efficient Mobile Gaming. ISLPED 2016: 218-223 - [c270]Kasra Moazzemi, Chen-Ying Hsieh, Nikil D. Dutt:
HAMEX: heterogeneous architecture and memory exploration framework. RSP 2016: 100-106 - [c269]Jurn-Gyu Park, Chen-Ying Hsieh, Nikil D. Dutt, Sung-Soo Lim:
Co-Cap: energy-efficient cooperative CPU-GPU frequency capping for mobile games. SAC 2016: 1717-1723 - [c268]Nikil D. Dutt, Nima Taherinejad:
Self-Awareness in Cyber-Physical Systems. VLSID 2016: 5-6 - 2015
- [j120]Majid Shoushtari, Abbas BanaiyanMofrad, Nikil D. Dutt:
Exploiting Partially-Forgetful Memories for Approximate Computing. IEEE Embed. Syst. Lett. 7(1): 19-22 (2015) - [j119]Jun Yong Shin, Fadi J. Kurdahi, Nikil D. Dutt:
Cooperative On-Chip Temperature EstimationUsing Multiple Virtual Sensors. IEEE Embed. Syst. Lett. 7(2): 37-40 (2015) - [j118]Lucas Francisco Wanner, Liangzhen Lai, Abbas Rahimi, Mark Gottscho, Pietro Mercati, Chu-Hsiang Huang, Frederic Sala, Yuvraj Agarwal, Lara Dolecek, Nikil D. Dutt, Puneet Gupta, Rajesh K. Gupta, Ranjit Jhala, Rakesh Kumar, Sorin Lerner, Subhasish Mitra, Alexandru Nicolau, Tajana Simunic Rosing, Mani B. Srivastava, Steven Swanson, Dennis Sylvester, Yuanyuan Zhou:
NSF expedition on variability-aware software: Recent results and contributions. it Inf. Technol. 57(3): 181-198 (2015) - [j117]Jeffrey L. Krichmar, Philippe Coussy, Nikil D. Dutt:
Large-Scale Spiking Neural Networks using Neuromorphic Hardware Compatible Models. ACM J. Emerg. Technol. Comput. Syst. 11(4): 36:1-36:18 (2015) - [j116]Michael Beyeler, Nicolas Oros, Nikil D. Dutt, Jeffrey L. Krichmar:
A GPU-accelerated cortical neural network model for visually guided robot navigation. Neural Networks 72: 75-87 (2015) - [j115]Mark Gottscho, Abbas BanaiyanMofrad, Nikil D. Dutt, Alex Nicolau, Puneet Gupta:
DPCS: Dynamic Power/Capacity Scaling for SRAM Caches in the Nanoscale Era. ACM Trans. Archit. Code Optim. 12(3): 27:1-27:26 (2015) - [j114]Mark Gottscho, Luis Angel D. Bathen, Nikil D. Dutt, Alex Nicolau, Puneet Gupta:
ViPZonE: Hardware Power Variability-Aware Virtual Memory Management for Energy Savings. IEEE Trans. Computers 64(5): 1483-1496 (2015) - [j113]Abbas BanaiyanMofrad, Houman Homayoun, Nikil D. Dutt:
Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra Low Voltage Operation. ACM Trans. Embed. Comput. Syst. 14(2): 32:1-32:24 (2015) - [c267]Tiago Mück, Santanu Sarma, Nikil D. Dutt:
Run-DMC: Runtime dynamic heterogeneous multicore performance and power estimation for energy efficiency. CODES+ISSS 2015: 173-182 - [c266]Bharathan Balaji, Mohammad Abdullah Al Faruque, Nikil D. Dutt, Rajesh K. Gupta, Yuvraj Agarwal:
Models, abstractions, and architectures: the missing links in cyber-physical systems. DAC 2015: 82:1-82:6 - [c265]Santanu Sarma, Tiago Mück, Luis Angel D. Bathen, Nikil D. Dutt, Alexandru Nicolau:
SmartBalance: a sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs. DAC 2015: 109:1-109:6 - [c264]Santanu Sarma, Nikil D. Dutt, Puneet Gupta, Nalini Venkatasubramanian, Alexandru Nicolau:
Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm with cross-layer virtual sensing and actuation. DATE 2015: 625-628 - [c263]Chen-Ying Hsieh, Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim:
Memory-aware cooperative CPU-GPU DVFS governor for mobile games. ESTIMedia 2015: 1-8 - [c262]Abbas BanaiyanMofrad, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori, Nikil D. Dutt:
Protecting caches against multi-bit errors using embedded erasure coding. ETS 2015: 1-6 - [c261]Nikil D. Dutt, Axel Jantsch, Santanu Sarma:
Self-Aware Cyber-Physical Systems-on-Chip. ICCAD 2015: 46-50 - [c260]Michael Beyeler, Kristofor D. Carlson, Ting-Shuo Chou, Nikil D. Dutt, Jeffrey L. Krichmar:
CARLsim 3: A user-friendly and highly optimized library for the creation of neurobiologically detailed spiking neural networks. IJCNN 2015: 1-8 - [c259]Jun Yong Shin, Fadi J. Kurdahi, Nikil D. Dutt:
Thermal sensor allocation for SoCs based on temperature gradients. ISQED 2015: 29-34 - [c258]Nga Dang, Hossein Tajik, Nikil D. Dutt, Nalini Venkatasubramanian, Eli Bozorgzadeh:
Orchestrated application quality and energy storage management in solar-powered embedded systems. ISQED 2015: 227-233 - [c257]Marco Levorato, Nalini Venkatasubramanian, Nikil D. Dutt:
Heat-aware transmission strategies. ITA 2015: 154-162 - [c256]Santanu Sarma, Nikil D. Dutt:
Cross-Layer Exploration of Heterogeneous Multicore Processor Configurations. VLSID 2015: 147-152 - [e3]Pål Halvorsen, Nikil D. Dutt:
Proceedings of the 7th ACM International Workshop on Mobile Video, MoVid 2015, Portland, OR, USA, March 18-20, 2015. ACM 2015, ISBN 978-1-4503-3353-5 [contents] - 2014
- [j112]Michael Beyeler, Micah Richert, Nikil D. Dutt, Jeffrey L. Krichmar:
Efficient Spiking Neural Network Model of Pattern Motion Selectivity in Visual Cortex. Neuroinformatics 12(3): 435-454 (2014) - [j111]Yi Wang, Min Huang, Zili Shao, Henry C. B. Chan, Luis Angel D. Bathen, Nikil D. Dutt:
A Reliability-Aware Address Mapping Strategy for NAND Flash Memory Storage Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(11): 1623-1631 (2014) - [j110]Abbas BanaiyanMofrad, Gustavo Girão, Nikil D. Dutt:
NoC-based fault-tolerant cache design in chip multiprocessors. ACM Trans. Embed. Comput. Syst. 13(3s): 115:1-115:26 (2014) - [j109]Luis Angel D. Bathen, Nikil D. Dutt:
Embedded RAIDs-on-chip for bus-based chip-multiprocessors. ACM Trans. Embed. Comput. Syst. 13(4): 83:1-83:36 (2014) - [j108]Nikil D. Dutt, Mehdi Baradaran Tahoori:
Introduction to Special Issue on Cross-layer Dependable Embedded Systems. ACM Trans. Embed. Comput. Syst. 13(4s): 136:1-136:2 (2014) - [j107]Arup Chakraborty, Houman Homayoun, Amin Khajeh, Nikil D. Dutt, Ahmed M. Eltawil, Fadi J. Kurdahi:
Multicopy Cache: A Highly Energy-Efficient Cache Architecture. ACM Trans. Embed. Comput. Syst. 13(5s): 150:1-150:27 (2014) - [j106]Luis Angel D. Bathen, Nikil D. Dutt:
SPMCloud: Towards the Single-Chip Embedded ScratchPad Memory-Based Storage Cloud. ACM Trans. Design Autom. Electr. Syst. 19(3): 22:1-22:45 (2014) - [j105]Yi Wang, Zili Shao, Henry C. B. Chan, Luis Angel D. Bathen, Nikil D. Dutt:
A Reliability Enhanced Address Mapping Strategy for Three-Dimensional (3-D) NAND Flash Memory. IEEE Trans. Very Large Scale Integr. Syst. 22(11): 2402-2410 (2014) - [c255]Kristofor D. Carlson, Michael Beyeler, Nikil D. Dutt, Jeffrey L. Krichmar:
GPGPU accelerated simulation and parameter tuning for neuromorphic applications. ASP-DAC 2014: 570-577 - [c254]Santanu Sarma, Nikil D. Dutt, Puneet Gupta, Alexandru Nicolau, Nalini Venkatasubramanian:
On-chip self-awareness using Cyberphysical-Systems-on-Chip (CPSoC). CODES+ISSS 2014: 22:1-22:3 - [c253]Nikil D. Dutt, Puneet Gupta, Alex Nicolau, Abbas BanaiyanMofrad, Mark Gottscho, Majid Shoushtari:
Multi-Layer Memory Resiliency. DAC 2014: 48:1-48:6 - [c252]Santanu Sarma, Nalini Venkatasubramanian, Nikil D. Dutt:
Sense-making from Distributed and Mobile Sensing Data: A Middleware Perspective. DAC 2014: 68:1-68:6 - [c251]Mark Gottscho, Abbas BanaiyanMofrad, Nikil D. Dutt, Alex Nicolau, Puneet Gupta:
Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches. DAC 2014: 100:1-100:6 - [c250]Santanu Sarma, Nikil D. Dutt:
Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking. DATE 2014: 1-6 - [c249]Jurn-Gyu Park, Chen-Ying Hsieh, Nikil D. Dutt, Sung-Soo Lim:
Quality-aware mobile graphics workload characterization for energy-efficient DVFS design. ESTIMedia 2014: 70-79 - [c248]Santanu Sarma, Nikil D. Dutt:
FPGA emulation and prototyping of a cyberphysical-system-on-chip (CPSoC). RSP 2014: 121-127 - 2013
- [j104]Luis Angel D. Bathen, Dongyoun Shin, Sung-Soo Lim, Nikil D. Dutt:
Virtualizing on-chip distributed ScratchPad memories for low power and trusted application execution. Des. Autom. Embed. Syst. 17(2): 377-409 (2013) - [j103]Michael C. Avery, Nikil D. Dutt, Jeffrey L. Krichmar:
A large-scale neural network model of the influence of neuromodulatory levels on working memory and behavior. Frontiers Comput. Neurosci. 7: 133 (2013) - [j102]Michael Beyeler, Nikil D. Dutt, Jeffrey L. Krichmar:
Categorization and decision-making in a neurobiologically plausible spiking network using a STDP-like learning rule. Neural Networks 48: 109-124 (2013) - [j101]Puneet Gupta, Yuvraj Agarwal, Lara Dolecek, Nikil D. Dutt, Rajesh K. Gupta, Rakesh Kumar, Subhasish Mitra, Alexandru Nicolau, Tajana Simunic Rosing, Mani B. Srivastava, Steven Swanson, Dennis Sylvester:
Underdesigned and Opportunistic Computing in Presence of Hardware Variability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1): 8-23 (2013) - [j100]Luis Angel D. Bathen, Yongjin Ahn, Sudeep Pasricha, Nikil D. Dutt:
MultiMaKe: Chip-multiprocessor driven memory-aware kernel pipelining. ACM Trans. Embed. Comput. Syst. 12(1s): 59:1-59:25 (2013) - [c247]Nikil D. Dutt, Puneet Gupta, Alex Nicolau, Luis Angel D. Bathen, Mark Gottscho:
Variability-aware memory management for nanoscale computing. ASP-DAC 2013: 125-132 - [c246]Yuko Hara-Azumi, Takuya Azumi, Nikil D. Dutt:
VISA synthesis: Variation-aware Instruction Set Architecture synthesis. ASP-DAC 2013: 243-248 - [c245]Kristofor D. Carlson, Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Jeffrey L. Krichmar:
Design space exploration and parameter tuning for neuromorphic applications. CODES+ISSS 2013: 20:1-20:2 - [c244]Majid Namaki-Shoushtari, Abbas Rahimi, Nikil D. Dutt, Puneet Gupta, Rajesh K. Gupta:
ARGO: Aging-aware GPGPU register file allocation. CODES+ISSS 2013: 30:1-30:9 - [c243]Jörg Henkel, Lars Bauer, Nikil D. Dutt, Puneet Gupta, Sani R. Nassif, Muhammad Shafique, Mehdi Baradaran Tahoori, Norbert Wehn:
Reliable on-chip systems in the nano-era: lessons learnt and future trends. DAC 2013: 99:1-99:10 - [c242]Hossein Tajik, Houman Homayoun, Nikil D. Dutt:
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture. DAC 2013: 178:1-178:8 - [c241]Abbas BanaiyanMofrad, Nikil D. Dutt, Gustavo Girão:
Modeling and analysis of fault-tolerant distributed memories for networks-on-chip. DATE 2013: 1605-1608 - [c240]Nikil D. Dutt:
Outlook for many-core systems: Cloudy with a chance of virtualization. ETS 2013: 1 - [c239]Abbas BanaiyanMofrad, Houam Homayoun, Vasileios Kontorinis, Dean M. Tullsen, Nikil D. Dutt:
REMEDIATE: A scalable fault-tolerant architecture for low-power NUCA cache in tiled CMPs. IGCC 2013: 1-10 - [c238]Kristofor D. Carlson, Micah Richert, Nikil D. Dutt, Jeffrey L. Krichmar:
Biologically plausible models of homeostasis and STDP: Stability and learning in spiking neural networks. IJCNN 2013: 1-8 - [c237]Jun Yong Shin, Nikil D. Dutt, Fadi J. Kurdahi:
Vision-inspired global routing for enhanced performance and reliability. ISQED 2013: 239-244 - [c236]Sung-Soo Lim, Eun-Jin Im, Nikil D. Dutt, Kyung-Woo Lee, Insik Shin, Chang-Gun Lee, Insup Lee:
A Reliable, Safe, and Secure Run-Time Platform for Cyber Physical Systems. SOCA 2013: 268-274 - 2012
- [j99]Kazuyuki Tanimura, Nikil D. Dutt:
HDRL: Homogeneous Dual-Rail Logic for DPA Attack Resistive Secure Circuit Design. IEEE Embed. Syst. Lett. 4(3): 57-60 (2012) - [j98]Chun Jason Xue, Nikil D. Dutt:
Guest Editorial Special Section on Memory Architectures and Organization. IEEE Embed. Syst. Lett. 4(4): 81 (2012) - [j97]Grit Denker, Nikil D. Dutt, Sharad Mehrotra, Mark-Oliver Stehr, Carolyn L. Talcott, Nalini Venkatasubramanian:
Resilient dependable cyber-physical systems: a middleware perspective. J. Internet Serv. Appl. 3(1): 41-49 (2012) - [j96]Giovanni Ansaloni, Kazuyuki Tanimura, Laura Pozzi, Nikil D. Dutt:
Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable Arrays. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(12): 1803-1816 (2012) - [j95]Kyoungwoo Lee, Nikil D. Dutt, Nalini Venkatasubramanian:
EAVE: Error-Aware Video Encoding Supporting Extended Energy/QoS Trade-offs for Mobile Embedded Systems. ACM Trans. Embed. Comput. Syst. 11(2): 37:1-37:28 (2012) - [j94]Minyoung Kim, Mark-Oliver Stehr, Carolyn L. Talcott, Nikil D. Dutt, Nalini Venkatasubramanian:
xTune: A formal methodology for cross-layer tuning of mobile embedded systems. ACM Trans. Embed. Comput. Syst. 11(4): 73:1-73:23 (2012) - [j93]Robert P. Dick, Li Shang, Nikil D. Dutt:
Introduction to special section SCPS'09. ACM Trans. Embed. Comput. Syst. 11(4): 74:1 (2012) - [j92]Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt:
Combining code reordering and cache configuration. ACM Trans. Embed. Comput. Syst. 11(4): 88:1-88:20 (2012) - [j91]Amin Khajeh, Minyoung Kim, Nikil D. Dutt, Ahmed M. Eltawil, Fadi J. Kurdahi:
Error-Aware Algorithm/Architecture Coexploration for Video Over Wireless Applications. ACM Trans. Embed. Comput. Syst. 11(S1): 15 (2012) - [c235]Luis Angel D. Bathen, Mark Gottscho, Nikil D. Dutt, Alex Nicolau, Puneet Gupta:
ViPZonE: OS-level memory variability-driven physical address zoning for energy savings. CODES+ISSS 2012: 33-42 - [c234]Abbas BanaiyanMofrad, Gustavo Girão, Nikil D. Dutt:
A novel NoC-based design for fault-tolerance of last-level caches in CMPs. CODES+ISSS 2012: 63-72 - [c233]Kazuyuki Tanimura, Nikil D. Dutt:
LRCG: latch-based random clock-gating for preventing power analysis side-channel attacks. CODES+ISSS 2012: 453-462 - [c232]Yi Wang, Luis Angel D. Bathen, Nikil D. Dutt, Zili Shao:
Meta-Cure: a reliability enhancement strategy for metadata in NAND flash memory storage systems. DAC 2012: 214-219 - [c231]Luis Angel D. Bathen, Nikil D. Dutt:
HaVOC: a hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and non-volatile memories. DAC 2012: 447-452 - [c230]Luis Angel D. Bathen, Nikil D. Dutt, Alex Nicolau, Puneet Gupta:
VaMV: Variability-aware Memory Virtualization. DATE 2012: 284-287 - [c229]Yi Wang, Luis Angel D. Bathen, Zili Shao, Nikil D. Dutt:
3D-FlashMap: A physical-location-aware block mapping strategy for 3D NAND flash memory. DATE 2012: 1307-1312 - [c228]Liviu Codrut Stancu, Luis Angel D. Bathen, Nikil D. Dutt, Alex Nicolau:
AVid: Annotation driven video decoding for hybrid memories. ESTIMedia 2012: 2-11 - [c227]Gu-Min Jeong, Dong-Byeong Kang, Sung-Soo Lim, Nikil D. Dutt:
An advanced course design for mobile embedded software through Android programming. WESE 2012: 5 - [c226]Michael C. Avery, Jeffrey L. Krichmar, Nikil D. Dutt:
Spiking neuron model of basal forebrain enhancement of visual attention. IJCNN 2012: 1-8 - [c225]Zili Shao, Naehyuck Chang, Nikil D. Dutt:
PTL: PCM Translation Layer. ISVLSI 2012: 380-385 - [c224]Santanu Sarma, Nikil D. Dutt, Nalini Venkatasubramanian:
Cross-layer virtual observers for embedded multiprocessor system-on-chip (MPSoC). ARM 2012: 4 - [c223]Nikil D. Dutt:
Keynote speach. RSP 2012 - [c222]Luis Angel D. Bathen, Nikil D. Dutt:
Software Controlled Memories for Scalable Many-Core Architectures. RTCSA 2012: 1-10 - [c221]Nikil D. Dutt, Mani B. Srivastava, Rajesh Gupta, Subhasish Mitra:
Tutorial T6: Variability-resistant Software and Hardware for Nano-Scale Computing. VLSI Design 2012: 22-24 - 2011
- [j90]Micah Richert, Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Jeffrey L. Krichmar:
An Efficient Simulation Environment for Modeling Large-Scale Cortical Processing. Frontiers Neuroinformatics 5: 19 (2011) - [j89]Ganghee Lee, Kiyoung Choi, Nikil D. Dutt:
Mapping Multi-Domain Applications Onto Coarse-Grained Reconfigurable Architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(5): 637-650 (2011) - [j88]Young-Hwan Park, Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt:
A Multi-Granularity Power Modeling Methodology for Embedded Processors. IEEE Trans. Very Large Scale Integr. Syst. 19(4): 668-681 (2011) - [c220]Minyoung Kim, Mark-Oliver Stehr, Carolyn L. Talcott, Nikil D. Dutt, Nalini Venkatasubramanian:
A Formal Methodology for Compositional Cross-Layer Optimization. Formal Modeling: Actors, Open Systems, Biological Systems 2011: 207-222 - [c219]Abbas BanaiyanMofrad, Houman Homayoun, Nikil D. Dutt:
FFT-cache: a flexible fault-tolerant cache architecture for ultra low voltage operation. CASES 2011: 95-104 - [c218]Luis Angel D. Bathen, Nikil D. Dutt, Dongyoun Shin, Sung-Soo Lim:
SPMVisor: dynamic scratchpad memory virtualization for secure, low power, and high performance distributed on-chip memories. CODES+ISSS 2011: 79-88 - [c217]Luis Angel D. Bathen, Nikil D. Dutt:
E-RoC: Embedded RAIDs-on-Chip for low power distributed dynamically managed reliable memories. DATE 2011: 1141-1146 - [c216]Giovanni Ansaloni, Laura Pozzi, Kazuyuki Tanimura, Nikil D. Dutt:
Slack-aware scheduling on Coarse Grained Reconfigurable Arrays. DATE 2011: 1513-1516 - [c215]Luis Angel D. Bathen, Nikil D. Dutt:
TrustGeM: Dynamic trusted environment generation for chip-multiprocessors. HOST 2011: 47-50 - [c214]Jeffrey L. Krichmar, Nikil D. Dutt, Jayram Moorkanikara Nageswaran, Micah Richert:
Neuromorphic modeling abstractions and simulation of large-scale cortical networks. ICCAD 2011: 334-338 - [e2]Leon Stok, Nikil D. Dutt, Soha Hassoun:
Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011. ACM 2011, ISBN 978-1-4503-0636-2 [contents] - 2010
- [j87]Kyoungwoo Lee, Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian:
Partitioning techniques for partially protected caches in resource-constrained embedded systems. ACM Trans. Design Autom. Electr. Syst. 15(4): 30:1-30:30 (2010) - [j86]Sudarshan Banerjee, Elaheh Bozorgzadeh, Juanjo Noguera, Nikil D. Dutt:
Bandwidth Management in Application Mapping for Dynamically Reconfigurable Architectures. ACM Trans. Reconfigurable Technol. Syst. 3(3): 18:1-18:30 (2010) - [j85]Sudeep Pasricha, Young-Hwan Park, Fadi J. Kurdahi, Nikil D. Dutt:
CAPPS: A Framework for Power-Performance Tradeoffs in Bus-Matrix-Based On-Chip Communication Architecture Synthesis. IEEE Trans. Very Large Scale Integr. Syst. 18(2): 209-221 (2010) - [j84]Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt:
Evaluating Carbon Nanotube Global Interconnects for Chip Multiprocessor Applications. IEEE Trans. Very Large Scale Integr. Syst. 18(9): 1376-1380 (2010) - [c213]Ganghee Lee, Seokhyun Lee, Kiyoung Choi, Nikil D. Dutt:
Routing-Aware Application Mapping Considering Steiner Points for Coarse-Grained Reconfigurable Architecture. ARC 2010: 231-243 - [c212]Luis Angel D. Bathen, Nikil D. Dutt:
PoliMakE: a policy making engine for secure embedded software execution on chip-multiprocessors. WESS 2010: 2 - [c211]Arup Chakraborty, Houman Homayoun, Amin Khajeh, Nikil D. Dutt, Ahmed M. Eltawil, Fadi J. Kurdahi:
E < MC2: less energy through multi-copy cache. CASES 2010: 237-246 - [c210]Houman Homayoun, Avesta Sasan, Aseem Gupta, Alexander V. Veidenbaum, Fadi J. Kurdahi, Nikil D. Dutt:
Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units. Conf. Computing Frontiers 2010: 297-308 - [c209]Houman Homayoun, Aseem Gupta, Alexander V. Veidenbaum, Avesta Sasan, Fadi J. Kurdahi, Nikil D. Dutt:
RELOCATE: Register File Local Access Pattern Redistribution Mechanism for Power and Thermal Management in Out-of-Order Embedded Processor. HiPEAC 2010: 216-231 - [c208]Kazuyuki Tanimura, Nikil D. Dutt:
ExCCel: Exploration of Complementary Cells for Efficient DPA Attack Resistivity. HOST 2010: 52-55 - [c207]Jayram Moorkanikara Nageswaran, Micah Richert, Nikil D. Dutt, Jeffrey L. Krichmar:
Towards reverse engineering the brain: Modeling abstractions and simulation frameworks. VLSI-SoC 2010: 1-6
2000 – 2009
- 2009
- [j83]Nikil D. Dutt, Jürgen Teich:
CODES+ISSS 2007 guest editors' introduction. Des. Autom. Embed. Syst. 13(1-2): 51-52 (2009) - [j82]Jayram Moorkanikara Nageswaran, Andrew Felch, Ashok Chandrasekhar, Nikil D. Dutt, Richard Granger, Alex Nicolau, Alexander V. Veidenbaum:
Brain Derived Vision Algorithm on High Performance Architectures. Int. J. Parallel Program. 37(4): 345-369 (2009) - [j81]Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Jeffrey L. Krichmar, Alex Nicolau, Alexander V. Veidenbaum:
A configurable simulation environment for the efficient simulation of large-scale spiking neural networks on graphics processors. Neural Networks 22(5-6): 791-800 (2009) - [j80]Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Sanghyun Park, Yunheung Paek:
Compiler-in-the-Loop Design Space Exploration Framework for Energy Reduction in Horizontally Partitioned Cache Architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(3): 461-465 (2009) - [j79]Doosan Cho, Sudeep Pasricha, Ilya Issenin, Nikil D. Dutt, Minwook Ahn, Yunheung Paek:
Adaptive Scratch Pad Memory Management for Dynamic Behavior of Multimedia Applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(4): 554-567 (2009) - [j78]Mehrdad Reshadi, Prabhat Mishra, Nikil D. Dutt:
Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation. ACM Trans. Embed. Comput. Syst. 8(3): 20:1-20:27 (2009) - [j77]Gabor Madl, Sudeep Pasricha, Nikil D. Dutt, Sherif Abdelwahed:
Cross-abstraction Functional Verification and Performance Analysis of Chip Multiprocessor Designs. IEEE Trans. Ind. Informatics 5(3): 241-256 (2009) - [j76]Sudeep Pasricha, Young-Hwan Park, Nikil D. Dutt, Fadi J. Kurdahi:
System-level PVT variation-aware power exploration of on-chip communication architectures. ACM Trans. Design Autom. Electr. Syst. 14(2): 20:1-20:25 (2009) - [j75]Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt:
Fast Configurable-Cache Tuning With a Unified Second-Level Cache. IEEE Trans. Very Large Scale Integr. Syst. 17(1): 80-91 (2009) - [j74]Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt:
Exploiting Application Data-Parallelism on Dynamically Reconfigurable Architectures: Placement and Architectural Considerations. IEEE Trans. Very Large Scale Integr. Syst. 17(2): 234-247 (2009) - [j73]Kyoungwoo Lee, Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Nalini Venkatasubramanian:
Partially Protected Caches to Reduce Failures Due to Soft Errors in Multimedia Applications. IEEE Trans. Very Large Scale Integr. Syst. 17(9): 1343-1347 (2009) - [c206]Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi:
Dynamically reconfigurable on-chip communication architectures for multi use-case chip multiprocessor applications. ASP-DAC 2009: 25-30 - [c205]Amin Khajeh, Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Ahmed M. Eltawil, Kamal S. Khouri, Magdy S. Abadir:
TRAM: A tool for Temperature and Reliability Aware Memory Design. DATE 2009: 340-345 - [c204]Luis Angel D. Bathen, Yongjin Ahn, Nikil D. Dutt, Sudeep Pasricha:
Inter-kernel data reuse and pipelining on chip-multiprocessors for multimedia applications. ESTIMedia 2009: 45-54 - [c203]Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Jeffrey L. Krichmar, Alex Nicolau, Alexander V. Veidenbaum:
Efficient simulation of large-scale Spiking Neural Networks using CUDA graphics processors. IJCNN 2009: 2145-2152 - [c202]Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Yingxue Wang, Tobi Delbrück:
Computing Spike-based Convolutions on GPUs. ISCAS 2009: 1917-1920 - [c201]Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Yingxue Wang, Tobi Delbrück:
Live Demonstration: Computing Spike-based Convolutions on GPUs. ISCAS 2009: 1921 - [c200]Luis Angel D. Bathen, Yongjin Ahn, Nikil D. Dutt, Sudeep Pasricha:
A Methodology for Power-aware Pipelining via High-Level Performance Model Evaluations. MTV 2009: 19-24 - [c199]Gabor Madl, Nikil D. Dutt, Sherif Abdelwahed:
A Conservative Approximation Method for the Verification of Preemptive Scheduling Using Timed Automata. IEEE Real-Time and Embedded Technology and Applications Symposium 2009: 255-264 - [c198]Sudeep Pasricha, Nikil D. Dutt, Fadi J. Kurdahi:
Exploring Carbon Nanotube Bundle Global Interconnects for Chip Multiprocessor Applications. VLSI Design 2009: 499-504 - 2008
- [j72]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
Evaluating memory architectures for media applications on Coarse-grained Reconfigurable Architectures. Int. J. Embed. Syst. 3(3): 119-127 (2008) - [j71]Ilya Issenin, Nikil D. Dutt:
Using FORAY Models to Enable MPSoC Memory Optimizations. Int. J. Parallel Program. 36(1): 93-113 (2008) - [j70]Sudeep Pasricha, Nikil D. Dutt:
Trends in Emerging On-Chip Interconnect Technologies. Inf. Media Technol. 3(4): 630-645 (2008) - [j69]Sudeep Pasricha, Nikil D. Dutt:
Trends in Emerging On-Chip Interconnect Technologies. IPSJ Trans. Syst. LSI Des. Methodol. 1: 2-17 (2008) - [j68]Gabor Madl, Nikil D. Dutt:
Real-time analysis of resource-constrained distributed systems by simulation-guided model checking. SIGBED Rev. 5(1): 7 (2008) - [j67]Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie:
Register File Power Reduction Using Bypass Sensitive Compiler. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(6): 1155-1159 (2008) - [j66]Ilya Issenin, Erik Brockmeyer, Bart Durinck, Nikil D. Dutt:
Data-Reuse-Driven Energy-Aware Cosynthesis of Scratch Pad Memory and Hierarchical Bus-Based Communication Architecture for Multiprocessor Streaming Applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(8): 1439-1452 (2008) - [j65]Minyoung Kim, Sudarshan Banerjee, Nikil D. Dutt, Nalini Venkatasubramanian:
Energy-aware cosynthesis of real-time multimedia applications on MPSoCs using heterogeneous scheduling policies. ACM Trans. Embed. Comput. Syst. 7(2): 9:1-9:19 (2008) - [j64]Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane:
Fast exploration of bus-based communication architectures at the CCATB abstraction. ACM Trans. Embed. Comput. Syst. 7(2): 22:1-22:32 (2008) - [j63]Nikil D. Dutt:
Editorial. ACM Trans. Design Autom. Electr. Syst. 13(1): 1:1 (2008) - [j62]Nikil D. Dutt:
Editorial. ACM Trans. Design Autom. Electr. Syst. 13(2): 23:1 (2008) - [j61]Nikil D. Dutt:
Editorial. ACM Trans. Design Autom. Electr. Syst. 13(3): 37:1-37:2 (2008) - [j60]Prabhat Mishra, Nikil D. Dutt:
Specification-driven directed test generation for validation of pipelined processors. ACM Trans. Design Autom. Electr. Syst. 13(3): 42:1-42:36 (2008) - [c197]Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt:
A Compiler-in-the-Loop framework to explore Horizontally Partitioned Cache architectures. ASP-DAC 2008: 328-333 - [c196]Sudeep Pasricha, Nikil D. Dutt:
ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip. ASP-DAC 2008: 789-794 - [c195]Nikil D. Dutt:
Quo vadis, BTSoC (Billion Transistor SoC)? ASP-DAC 2008: 809 - [c194]Young-Hwan Park, Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt:
Methodology for multi-granularity embedded processor power model generation for an ESL design flow. CODES+ISSS 2008: 255-260 - [c193]Hiroyuki Yagi, Wolfgang Roesner, Tim Kogel, Eshel Haritan, Hidekazu Tangi, Michael McNamara, Gary Smith, Nikil D. Dutt, Giovanni Mancini:
ESL hand-off: fact or EDA fiction? DAC 2008: 310-312 - [c192]Minyoung Kim, Mark-Oliver Stehr, Carolyn L. Talcott, Nikil D. Dutt, Nalini Venkatasubramanian:
Constraint Refinement for Online Verifiable Cross-Layer System Adaptation. DATE 2008: 646-651 - [c191]Nikil D. Dutt:
Memory-aware NoC Exploration and Design. DATE 2008: 1128-1129 - [c190]Amin Khajeh, Minyoung Kim, Nikil D. Dutt, Ahmed M. Eltawil, Fadi J. Kurdahi:
Cross-layer co-exploration of exploiting error resilience for video over wireless applications. ESTIMedia 2008: 13-18 - [c189]Luis Angel D. Bathen, Nikil D. Dutt, Sudeep Pasricha:
A framework for memory-aware multimedia application mapping on chip-multiprocessors. ESTIMedia 2008: 89-94 - [c188]Kyoungwoo Lee, Minyoung Kim, Nikil D. Dutt, Nalini Venkatasubramanian:
Error-Exploiting Video Encoder to Extend Energy/QoS Tradeoffs for Mobile Embedded Systems. DIPES 2008: 23-34 - [c187]Kyoungwoo Lee, Aviral Shrivastava, Nikil D. Dutt, Nalini Venkatasubramanian:
Data Partitioning Techniques for Partially Protected Caches to Reduce Soft Error Induced Failures. DIPES 2008: 213-225 - [c186]Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir:
Thermal Aware Global Routing of VLSI Chips for Enhanced Reliability. ISQED 2008: 470-475 - [c185]Doosan Cho, Sudeep Pasricha, Ilya Issenin, Nikil D. Dutt, Yunheung Paek, SunJun Ko:
Compiler driven data layout optimization for regular/irregular array access patterns. LCTES 2008: 41-50 - [c184]Kyoungwoo Lee, Aviral Shrivastava, Minyoung Kim, Nikil D. Dutt, Nalini Venkatasubramanian:
Mitigating the impact of hardware defects on multimedia applications: a cross-layer approach. ACM Multimedia 2008: 319-328 - [c183]Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt:
System level performance analysis of carbon nanotube global interconnects for emerging chip multiprocessors. NANOARCH 2008: 1-7 - [c182]Fadi J. Kurdahi, Nikil D. Dutt, Ahmed M. Eltawil, Sani R. Nassif:
Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. VLSI Design 2008: 14-15 - [c181]Sudeep Pasricha, Young-Hwan Park, Fadi J. Kurdahi, Nikil D. Dutt:
Incorporating PVT Variations in System-Level Power Exploration of On-Chip Communication Architectures. VLSI Design 2008: 363-370 - [c180]Deepa Kannan, Aseem Gupta, Aviral Shrivastava, Nikil D. Dutt, Fadi J. Kurdahi:
PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors. VLSI Design 2008: 421-427 - 2007
- [j59]Liang Cheng, Shivajit Mohapatra, Magda El Zarki, Nikil D. Dutt, Nalini Venkatasubramanian:
Quality-Based Backlight Optimization for Video Playback on Handheld Devices. Adv. Multim. 2007: 83715:1-83715:10 (2007) - [j58]Chulho Shin, Peter Grun, Nizar Romdhane, Christopher K. Lennard, Gabor Madl, Sudeep Pasricha, Nikil D. Dutt, Mark Noll:
Enabling heterogeneous cycle-based and event-driven simulation in a design flow integrated using the SPIRIT consortium specifications. Des. Autom. Embed. Syst. 11(2-3): 119-140 (2007) - [j57]Shivajit Mohapatra, Nikil D. Dutt, Alexandru Nicolau, Nalini Venkatasubramanian:
DYNAMO: A Cross-Layer Framework for End-to-End QoS and Energy Optimization in Mobile Handheld Devices. IEEE J. Sel. Areas Commun. 25(4): 722-737 (2007) - [j56]Sudeep Pasricha, Nikil D. Dutt:
A Framework for Cosynthesis of Memory and Communication Architectures for MPSoC. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3): 408-420 (2007) - [j55]Partha Biswas, Nikil D. Dutt, Laura Pozzi, Paolo Ienne:
Introduction of Architecturally Visible Storage in Instruction Set Extensions. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3): 435-446 (2007) - [j54]Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane:
BMSYN: Bus Matrix Communication Architecture Synthesis for MPSoC. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(8): 1454-1464 (2007) - [j53]Aviral Shrivastava, Sanghyun Park, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek:
Automatic Design Space Exploration of Register Bypasses in Embedded Processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(12): 2102-2115 (2007) - [j52]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
Instruction set synthesis with efficient instruction encoding for configurable processors. ACM Trans. Design Autom. Electr. Syst. 12(1): 9:1-9:37 (2007) - [j51]Nikil D. Dutt:
Editorial. ACM Trans. Design Autom. Electr. Syst. 12(2): 9 (2007) - [j50]Ilya Issenin, Erik Brockmeyer, Miguel Miranda, Nikil D. Dutt:
DRDU: A data reuse analysis technique for efficient scratch-pad memory management. ACM Trans. Design Autom. Electr. Syst. 12(2): 15 (2007) - [c179]Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir:
LEAF: A System Level Leakage-Aware Floorplanner for SoCs. ASP-DAC 2007: 274-279 - [c178]Doosan Cho, Ilya Issenin, Nikil D. Dutt, Jonghee W. Yoon, Yunheung Paek:
Software controlled memory layout reorganization for irregular array access patterns. CASES 2007: 179-188 - [c177]Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt, Juanjo Noguera:
Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures. DAC 2007: 771-776 - [c176]Qiang Zhu, Aviral Shrivastava, Nikil D. Dutt:
Interactive presentation: Functional and timing validation of partially bypassed processor pipelines. DATE 2007: 1164-1169 - [c175]Gabor Madl, Nikil D. Dutt, Sherif Abdelwahed:
Performance estimation of distributed real-time embedded systems by discrete event simulations. EMSOFT 2007: 183-192 - [c174]Minyoung Kim, Mark-Oliver Stehr, Carolyn L. Talcott, Nikil D. Dutt, Nalini Venkatasubramanian:
A Probabilistic Formal Analysis Approach to Cross Layer Optimization in Distributed Embedded Systems. FMOODS 2007: 285-300 - [c173]Minyoung Kim, Mark-Oliver Stehr, Carolyn L. Talcott, Nikil D. Dutt, Nalini Venkatasubramanian:
Combining Formal Verification with Observed System Execution Behavior to Tune System Parameters. FORMATS 2007: 257-273 - [c172]Young-Hwan Park, Sudeep Pasricha, Fadi J. Kurdahi, Nikil D. Dutt:
System level power estimation methodology with H.264 decoder prediction IP case study. ICCD 2007: 601-608 - [c171]Ilya Issenin, Nikil D. Dutt:
Data Reuse Driven Memory and Network-On-Chip Co-Synthesis. IESS 2007: 299-312 - [c170]Nikil D. Dutt:
Modeling of Software-Hardware Complexes. IESS 2007: 423-425 - [c169]Radu Cornea, Alex Nicolau, Nikil D. Dutt:
Annotation Integration and Trade-off Analysis for Multimedia Applications. IPDPS 2007: 1-6 - [c168]Jeff Furlong, Andrew Felch, Jayram Moorkanikara Nageswaran, Nikil D. Dutt, Alex Nicolau, Alexander V. Veidenbaum, Ashok Chandrashekar, Richard Granger:
Novel Brain-Derived Algorithms Scale Linearly with Number of Processing Elements. PARCO 2007: 767-776 - [c167]Nikil D. Dutt, Kaustav Banerjee, Luca Benini, Kanishka Lahiri, Sudeep Pasricha:
Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends. VLSI Design 2007: 8 - [c166]Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir:
STEFAL: A System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs. VLSI Design 2007: 559-564 - [p3]Aviral Shrivastava, Nikil D. Dutt:
Compiler Aided Design of Embedded Computers. The Compiler Design Handbook, 2nd ed. 2007: 3 - [e1]Soonhoi Ha, Kiyoung Choi, Nikil D. Dutt, Jürgen Teich:
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007. ACM 2007, ISBN 978-1-59593-824-4 [contents] - [i3]Ilya Issenin, Nikil D. Dutt:
FORAY-GEN: Automatic Generation of Affine Functions for Memory Optimizations. CoRR abs/0710.4640 (2007) - [i2]Mehrdad Reshadi, Nikil D. Dutt:
Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation. CoRR abs/0710.4643 (2007) - [i1]Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne:
ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement. CoRR abs/0710.4820 (2007) - 2006
- [j49]Minyoung Kim, Hyunok Oh, Nikil D. Dutt, Alex Nicolau, Nalini Venkatasubramanian:
PBPAIR: an energy-efficient error-resilient encoding using probability based power aware intra refresh. ACM SIGMOBILE Mob. Comput. Commun. Rev. 10(3): 58-69 (2006) - [j48]Mehrdad Reshadi, Bita Gorjiara, Nikil D. Dutt:
Generic Processor Modeling for Automatically Generating Very Fast Cycle-Accurate Simulators. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12): 2904-2918 (2006) - [j47]Mehrdad Reshadi, Nikil D. Dutt, Prabhat Mishra:
A retargetable framework for instruction-set architecture simulation. ACM Trans. Embed. Comput. Syst. 5(2): 431-452 (2006) - [j46]Nikil D. Dutt:
Editorial. ACM Trans. Design Autom. Electr. Syst. 11(1): 1-2 (2006) - [j45]Aviral Shrivastava, Partha Biswas, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau:
Compilation framework for code size reduction using reduced bit-width ISAs (rISAs). ACM Trans. Design Autom. Electr. Syst. 11(1): 123-146 (2006) - [j44]Prabhat Mishra, Aviral Shrivastava, Nikil D. Dutt:
Architecture description language (ADL)-driven software toolkit generation for architectural exploration of programmable SOCs. ACM Trans. Design Autom. Electr. Syst. 11(3): 626-658 (2006) - [j43]Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane:
FABSYN: floorplan-aware bus architecture synthesis. IEEE Trans. Very Large Scale Integr. Syst. 14(3): 241-253 (2006) - [j42]Arun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil D. Dutt, Rajesh K. Gupta:
Energy efficient watermarking on mobile devices using proxy-based partitioning. IEEE Trans. Very Large Scale Integr. Syst. 14(6): 625-636 (2006) - [j41]Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne:
ISEGEN: an iterative improvement-based ISE generation technique for fast customization of processors. IEEE Trans. Very Large Scale Integr. Syst. 14(7): 754-762 (2006) - [j40]Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau:
Retargetable pipeline hazard detection for partially bypassed processors. IEEE Trans. Very Large Scale Integr. Syst. 14(8): 791-801 (2006) - [j39]Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt:
Integrating Physical Constraints in HW-SW Partitioning for Architectures With Partial Dynamic Reconfiguration. IEEE Trans. Very Large Scale Integr. Syst. 14(11): 1189-1202 (2006) - [c165]Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane:
Constraint-driven bus matrix synthesis for MPSoC. ASP-DAC 2006: 30-35 - [c164]Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt:
PARLGRAN: parallelism granularity selection for scheduling task chains on dynamically reconfigurable architectures. ASP-DAC 2006: 491-496 - [c163]Hyunok Oh, Nikil D. Dutt, Soonhoi Ha:
Memory optimal single appearance schedule with dynamic loop count for synchronous dataflow graphs. ASP-DAC 2006: 497-502 - [c162]Kyoungwoo Lee, Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Nalini Venkatasubramanian:
Mitigating soft error failures for multimedia applications by selective data protection. CASES 2006: 411-420 - [c161]Liang Cheng, Shivajit Mohapatra, Magda El Zarki, Nikil D. Dutt, Nalini Venkatasubramanian:
A backlight optimization scheme for video playback on mobile devices. CCNC 2006: 833-837 - [c160]Minyoung Kim, Sudarshan Banerjee, Nikil D. Dutt, Nalini Venkatasubramanian:
Design space exploration of real-time multi-media MPSoCs with heterogeneous scheduling policies. CODES+ISSS 2006: 16-21 - [c159]Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir:
Floorplan driven leakage power aware IP-based SoC design space exploration. CODES+ISSS 2006: 118-123 - [c158]Ilya Issenin, Nikil D. Dutt:
Data reuse driven energy-aware MPSoC co-synthesis of memory and communication architecture for streaming applications. CODES+ISSS 2006: 294-299 - [c157]Sudeep Pasricha, Young-Hwan Park, Fadi J. Kurdahi, Nikil D. Dutt:
System-level power-performance trade-offs in bus matrix communication architecture synthesis. CODES+ISSS 2006: 300-305 - [c156]Ilya Issenin, Erik Brockmeyer, Bart Durinck, Nikil D. Dutt:
Multiprocessor system-on-chip data reuse analysis for exploring customized memory hierarchies. DAC 2006: 49-52 - [c155]Partha Biswas, Nikil D. Dutt, Paolo Ienne, Laura Pozzi:
Automatic identification of application-specific functional units with architecturally visible storage. DATE 2006: 212-217 - [c154]Radu Cornea, Alexandru Nicolau, Nikil D. Dutt:
Software annotations for power optimization on mobile devices. DATE 2006: 684-689 - [c153]Sudeep Pasricha, Nikil D. Dutt:
COSMECA: application specific co-synthesis of memory and communication architectures for MPSoC. DATE 2006: 700-705 - [c152]Sanghyun Park, Eugene Earlie, Aviral Shrivastava, Alex Nicolau, Nikil D. Dutt, Yunheung Paek:
Automatic generation of operation tables for fast exploration of bypasses in embedded processors. DATE 2006: 1197-1202 - [c151]Gabor Madl, Sudeep Pasricha, Luis Angel D. Bathen, Nikil D. Dutt, Qiang Zhu:
Formal performance evaluation of AMBA-based system-on-chip designs. EMSOFT 2006: 311-320 - [c150]Radu Cornea, Alex Nicolau, Nikil D. Dutt:
Annotation Based Multimedia Streaming Over Wireless Networks. ESTIMedia 2006: 47-52 - [c149]Sudarshan Banerjee, Elaheh Bozorgzadeh, Juanjo Noguera, Nikil D. Dutt:
Minimizing peak power for application chains on architectures with partial dynamic reconfiguration. FPT 2006: 273-276 - [c148]Radu Cornea, Alex Nicolau, Nikil D. Dutt:
Video Stream Annotations for Energy Trade-offs in Multimedia Applications. ISPDC 2006: 17-23 - [c147]Sanghyun Park, Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Yunheung Paek, Eugene Earlie:
Bypass aware instruction scheduling for register file power reduction. LCTES 2006: 173-181 - [c146]Gabor Madl, Nikil D. Dutt:
Domain-Specific Modeling of Power Aware Distributed Real-Time Embedded Systems. SAMOS 2006: 59-68 - [c145]Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Paolo Ienne, Laura Pozzi:
Performance and Energy Benefits of Instruction Set Extensions in an FPGA Soft Core. VLSI Design 2006: 651-656 - 2005
- [b4]Prabhat Mishra, Nikil D. Dutt:
Functional verification of programmable embedded architectures - a top-down approach. Springer 2005, ISBN 978-0-387-26143-0, pp. I-XVIII, 1-180 - [j38]Prabhat Mishra, Nikil D. Dutt, Narayanan Krishnamurthy, Magdy S. Abadir:
A methodology for validation of microprocessors using symbolic simulation. Int. J. Embed. Syst. 1(1/2): 14-22 (2005) - [j37]Partha Biswas, Nikil D. Dutt:
Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using Instruction Set Extensions. IEEE Trans. Computers 54(10): 1216-1226 (2005) - [j36]Nikil D. Dutt:
Editorial. ACM Trans. Design Autom. Electr. Syst. 10(1): 1-2 (2005) - [c144]Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane:
Automated throughput-driven synthesis of bus-based communication architectures. ASP-DAC 2005: 495-498 - [c143]Jaewon Seo, Nikil D. Dutt:
A generalized technique for energy-efficient operating voltage set-up in dynamic voltage scaled processors. ASP-DAC 2005: 836-841 - [c142]Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt:
Compilation techniques for energy reduction in horizontally partitioned cache architectures. CASES 2005: 90-96 - [c141]Hyunok Oh, Nikil D. Dutt, Soonhoi Ha:
Single appearance schedule with dynamic loop count for minimum data buffer from synchronous dataflow graphs. CASES 2005: 157-165 - [c140]Hyunok Oh, Nikil D. Dutt, Soonhoi Ha:
Shift buffering technique for automatic code synthesis from synchronous dataflow graphs. CODES+ISSS 2005: 51-56 - [c139]Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau:
Aggregating processor free time for energy reduction. CODES+ISSS 2005: 154-159 - [c138]Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt:
Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration. DAC 2005: 335-340 - [c137]Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane:
Floorplan-aware automated synthesis of bus-based communication architectures. DAC 2005: 565-570 - [c136]Prabhat Mishra, Nikil D. Dutt:
Functional Coverage Driven Test Generation for Validation of Pipelined Processors. DATE 2005: 678-683 - [c135]Mehrdad Reshadi, Nikil D. Dutt:
Generic Pipelined Processor Modeling and High Performance Cycle-Accurate Simulator Generation. DATE 2005: 786-791 - [c134]Ilya Issenin, Nikil D. Dutt:
FORAY-GEN: Automatic Generation of Affine Functions for Memory Optimizations. DATE 2005: 808-813 - [c133]Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne:
ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement. DATE 2005: 1246-1251 - [c132]Aviral Shrivastava, Nikil D. Dutt, Alexandru Nicolau, Eugene Earlie:
PBExplore: A Framework for Compiler-in-the-Loop Exploration of Partial Bypassing in Embedded Processors. DATE 2005: 1264-1269 - [c131]Arun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil D. Dutt, Rajesh Gupta:
Energy Analysis of Multimedia Watermarking on Mobile Handheld Devices. ESTIMedia 2005: 33-38 - [c130]Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt:
Considering Run-Time Reconfiguration Overhead in Task Graph Transformations for Dynamically Reconfigurable Architectures. FCCM 2005: 273-274 - [c129]Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt:
A first look at the interplay of code reordering and configurable caches. ACM Great Lakes Symposium on VLSI 2005: 416-421 - [c128]Jaewon Seo, Taewhan Kim, Nikil D. Dutt:
Optimal integration of inter-task and intra-task dynamic voltage scaling techniques for hard real-time applications. ICCAD 2005: 450-455 - [c127]Minyoung Kim, Hyunok Oh, Nikil D. Dutt, Alexandru Nicolau, Nalini Venkatasubramanian:
Probability Based Power Aware Error Resilient Coding. ICDCS Workshops 2005: 307-313 - [c126]Kyoungwoo Lee, Nikil D. Dutt, Nalini Venkatasubramanian:
An Experimental Study on Energy Consumption of Video Encryption for Mobile Handheld Devices. ICME 2005: 1424-1427 - [c125]Liang Cheng, Stefano Bossi, Shivajit Mohapatra, Magda El Zarki, Nalini Venkatasubramanian, Nikil D. Dutt:
Quality Adapted Backlight Scaling (QABS) for Video Streaming to Mobile Handheld Devices. ICN (1) 2005: 662-671 - [c124]Shivajit Mohapatra, Radu Cornea, Hyunok Oh, Kyoungwoo Lee, Minyoung Kim, Nikil D. Dutt, Rajesh Gupta, Alexandru Nicolau, Sandeep K. Shukla, Nalini Venkatasubramanian:
A Cross-Layer Approach for Power-Performance Optimization in Distributed Mobile Systems. IPDPS 2005 - [c123]Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt:
Fast configurable-cache tuning with a unified second-level cache. ISLPED 2005: 323-326 - [c122]Brian Kahne, Aseem Gupta, Peter Wilson, Nikil D. Dutt:
An Introduction to the Plasma Language. MTV 2005: 12-22 - 2004
- [j35]Prabhat Mishra, Nikil D. Dutt, Narayanan Krishnamurthy, Magdy S. Abadir:
A Top-Down Methodology for Microprocessor Validation. IEEE Des. Test Comput. 21(2): 122-131 (2004) - [j34]Sudeep Pasricha, Manev Luthra, Shivajit Mohapatra, Nikil D. Dutt, Nalini Venkatasubramanian:
Dynamic Backlight Adaptation for Low-Power Handheld Devices. IEEE Des. Test Comput. 21(5): 398-405 (2004) - [j33]Hiroyuki Tomiyama, Nikil D. Dutt:
ILP-Based Program Path Analysis for Bounding Worst-Case Inter-Task Cache Conflicts. IEICE Trans. Inf. Syst. 87-D(6): 1582-1587 (2004) - [j32]Sumit Gupta, Nicolae Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau:
Using global code motions to improve the quality of results for high-level synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(2): 302-312 (2004) - [j31]Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir:
IDAP: a tool for high-level power estimation of custom array structures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(9): 1361-1369 (2004) - [j30]Prabhat Mishra, Nikil D. Dutt:
Modeling and validation of pipeline specifications. ACM Trans. Embed. Comput. Syst. 3(1): 114-139 (2004) - [j29]Prabhat Mishra, Mahesh Mamidipaka, Nikil D. Dutt:
Processor-memory coexploration using an architecture description language. ACM Trans. Embed. Comput. Syst. 3(1): 140-162 (2004) - [j28]Sumit Gupta, Rajesh K. Gupta, Nikil D. Dutt, Alexandru Nicolau:
Coordinated parallelizing compiler optimizations and high-level synthesis. ACM Trans. Design Autom. Electr. Syst. 9(4): 441-470 (2004) - [c121]Aviral Shrivastava, Nikil D. Dutt:
Energy efficient code generation exploiting reduced bit-width instruction set architectures (rISA). ASP-DAC 2004: 475-477 - [c120]Sudarshan Banerjee, Nikil D. Dutt:
Efficient search space exploration for HW-SW partitioning. CODES+ISSS 2004: 122-127 - [c119]Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir:
Analytical models for leakage power estimation of memory array structures. CODES+ISSS 2004: 146-151 - [c118]Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau:
Operation tables for scheduling in the presence of incomplete bypassing. CODES+ISSS 2004: 194-199 - [c117]Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane:
Fast exploration of bus-based on-chip communication architectures. CODES+ISSS 2004: 242-247 - [c116]Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane:
Extending the transaction level modeling approach for fast communication architecture exploration. DAC 2004: 113-118 - [c115]Arun Kejariwal, Sumit Gupta, Alexandru Nicolau, Nikil D. Dutt, Rajesh Gupta:
Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices. DAC 2004: 556-561 - [c114]Partha Biswas, Vinay Choudhary, Kubilay Atasu, Laura Pozzi, Paolo Ienne, Nikil D. Dutt:
Introduction of local memory elements in instruction set extensions. DAC 2004: 729-734 - [c113]Sumit Gupta, Nikil D. Dutt, Rajesh Gupta, Alexandru Nicolau:
Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow. DATE 2004: 114-121 - [c112]Prabhat Mishra, Nikil D. Dutt:
Graph-Based Functional Test Program Generation for Pipelined Processors. DATE 2004: 182-187 - [c111]Ilya Issenin, Erik Brockmeyer, Miguel Miranda, Nikil D. Dutt:
Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies. DATE 2004: 202-207 - [c110]Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt:
Automatic Tuning of Two-Level Caches to Embedded Applications. DATE 2004: 208-213 - [c109]Nikhil Bansal, Sumit Gupta, Nikil D. Dutt, Alexandru Nicolau, Rajesh Gupta:
Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures. DATE 2004: 474-479 - [c108]Hans Van Antwerpen, Nikil D. Dutt, Rajesh K. Gupta, Shivajit Mohapatra, Cristiano Pereira, Nalini Venkatasubramanian, Ralph von Vignau:
Energy-Aware System Design for Wireless Multimedia. DATE 2004: 1124-1131 - [c107]Prabhat Mishra, Nikil D. Dutt:
Functional Validation of Programmable Architectures. DSD 2004: 12-19 - [c106]Nikhil Bansal, Sumit Gupta, Nikil D. Dutt, Alexandru Nicolau, Rajesh K. Gupta:
Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures. FPL 2004: 891-899 - [c105]Sudarshan Banerjee, Nikil D. Dutt:
FIFO power optimization for on-chip networks. ACM Great Lakes Symposium on VLSI 2004: 187-191 - [c104]Prabhat Mishra, Nikil D. Dutt, Yaron Kashai:
Functional Verification of Pipelined Processors: A Case Study. MTV 2004: 79-84 - [c103]Prabhat Mishra, Arun Kejariwal, Nikil D. Dutt:
Synthesis-driven Exploration of Pipelined Embedded Processors. VLSI Design 2004: 921-926 - [p2]Ann Gordon-Ross, Chuanjun Zhang, Frank Vahid, Nikil D. Dutt:
Tuning Caches to Applications for Low-Energy Embedded Systems. Ultra Low-Power Electronics and Design 2004: 103-122 - [p1]Shivajit Mohapatra, Nalini Venkatasubramanian, Nikil D. Dutt, Cristiano Pereira, Rajesh K. Gupta:
Energy-Aware Adaptations for End-to-End Videostreaming to Mobile Handheld Devices. Ultra Low-Power Electronics and Design 2004: 255-273 - 2003
- [b3]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Memory architecture exploration for programmable embedded systems. Kluwer 2003, ISBN 978-1-4020-7324-3, pp. I-XVI, 1-128 - [j27]Nikil D. Dutt, Kiyoung Choi:
Configurable Processors for Embedded Computing. Computer 36(1): 120-123 (2003) - [j26]Prabhat Mishra, Nikil D. Dutt, Hiroyuki Tomiyama:
Towards Automatic Validation of Dynamic Behavior in Pipelined Processor Specifications. Des. Autom. Embed. Syst. 8(2-3): 249-265 (2003) - [j25]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
Compilation Approach for Coarse-Grained Reconfigurable Architectures. IEEE Des. Test Comput. 20(1): 26-33 (2003) - [j24]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Access pattern-based memory and connectivity architecture exploration. ACM Trans. Embed. Comput. Syst. 2(1): 33-73 (2003) - [j23]Peter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau:
RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions. IEEE Trans. Very Large Scale Integr. Syst. 11(4): 731-737 (2003) - [j22]Mahesh Mamidipaka, Daniel S. Hirschberg, Nikil D. Dutt:
Adaptive low-power address encoding techniques using self-organizing lists. IEEE Trans. Very Large Scale Integr. Syst. 11(5): 827-834 (2003) - [c102]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
Evaluating Memory Architectures for Media Applications on Coarse-Grained Recon.gurable Architectures. ASAP 2003: 172-182 - [c101]Partha Biswas, Nikil D. Dutt:
Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions. CASES 2003: 104-112 - [c100]Mehrdad Reshadi, Nikhil Bansal, Prabhat Mishra, Nikil D. Dutt:
An efficient retargetable framework for instruction-set simulation. CODES+ISSS 2003: 13-18 - [c99]Nikil D. Dutt, Janos Sztipanovits, Masaki Hirata:
Driving agenda for systems research. CODES+ISSS 2003: 82 - [c98]Mehrdad Reshadi, Prabhat Mishra, Nikil D. Dutt:
Instruction set compiled simulation: a technique for fast and flexible instruction set simulation. DAC 2003: 758-763 - [c97]Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau:
Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs. DATE 2003: 10270-10275 - [c96]Mahesh Mamidipaka, Nikil D. Dutt:
On-chip Stack Based Memory Organization for Low Power Embedded Architectures. DATE 2003: 11082-11089 - [c95]Sudeep Pasricha, Shivajit Mohapatra, Manev Luthra, Nikil D. Dutt, Nalini Venkatasubramanian:
Reducing Backlight Power Consumption for Streaming Video Applications on Mobile Handheld Devices. ESTIMedia 2003: 11-17 - [c94]Hiroyuki Tomiyama, Hiroaki Takada, Nikil D. Dutt:
Data Organization Exploration for Low-Energy Address Buses. ESTIMedia 2003: 128-133 - [c93]Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir:
IDAP: A Tool for High Level Power Estimation of Custom Array Structures. ICCAD 2003: 113-119 - [c92]Manev Luthra, Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau:
Interface Synthesis using Memory Mapping for an FPGA Platform. ICCD 2003: 140-145 - [c91]Mehrdad Reshadi, Nikil D. Dutt:
Reducing Compilation Time Overhead in Compiled Simulators. ICCD 2003: 151- - [c90]Radu Cornea, Nikil D. Dutt, Rajesh K. Gupta, Ingolf Krüger, Alexandru Nicolau, Douglas C. Schmidt, Sandeep K. Shukla:
FORGE: A Framework for Optimization of Distributed Embedded Systems Software. IPDPS 2003: 208 - [c89]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
Energy-efficient instruction set synthesis for application-specific processors. ISLPED 2003: 330-333 - [c88]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
An algorithm for mapping loops onto coarse-grained reconfigurable architectures. LCTES 2003: 183-188 - [c87]Shivajit Mohapatra, Radu Cornea, Nikil D. Dutt, Alexandru Nicolau, Nalini Venkatasubramanian:
Integrated power management for video streaming to mobile handheld devices. ACM Multimedia 2003: 582-591 - [c86]Prabhat Mishra, Nikil D. Dutt:
A Methodology for Validation of Microprocessors using Equivalence Checking. MTV 2003: 83-88 - [c85]Prabhat Mishra, Arun Kejariwal, Nikil D. Dutt:
Rapid Exploration of Pipelined Processors through Automatic Generation of Synthesizable RTL Models. IEEE International Workshop on Rapid System Prototyping 2003: 226-232 - [c84]Marcio Buss, Tony Givargis, Nikil D. Dutt:
Exploring Efficient Operating Points for Voltage Scaled Embedded Processor Cores. RTSS 2003: 275-281 - [c83]Mahesh Mamidipaka, Nikil D. Dutt, Kamal S. Khouri:
A Methodology for Accurate Modeling of Energy Dissipation in Array Structures. VLSI Design 2003: 320- - [c82]Sumit Gupta, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau:
SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations. VLSI Design 2003: 461-466 - 2002
- [c81]Sumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, Timothy Kam, Michael Kishinevsky, Shai Rotem:
Coordinated transformations for high-level synthesis of high performance microprocessor blocks. DAC 2002: 898-903 - [c80]Prabhat Mishra, Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama:
Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units. DATE 2002: 36-43 - [c79]Ana Azevedo, Ilya Issenin, Radu Cornea, Rajesh Gupta, Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau:
Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints. DATE 2002: 168-175 - [c78]Ashok Halambi, Aviral Shrivastava, Partha Biswas, Nikil D. Dutt, Alexandru Nicolau:
An Efficient Compiler Technique for Code Size Reduction Using Reduced Bit-Width ISAs. DATE 2002: 402-408 - [c77]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Memory System Connectivity Exploration. DATE 2002: 894-901 - [c76]Preeti Ranjan Panda, Nikil D. Dutt:
Memory Architectures for Embedded Systems-On-Chip. HiPC 2002: 647-662 - [c75]Prabhat Mishra, Nikil D. Dutt:
Automatic functional test program generation for pipelined processors using model checking. HLDVT 2002: 99-103 - [c74]Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt:
Efficient instruction encoding for automatic instruction set design of configurable ASIPs. ICCAD 2002: 649-654 - [c73]Prabhat Mishra, Nikil D. Dutt:
Modeling and Verification of Pipelined Embedded Processors in the Presence of Hazards and Exceptions. DIPES 2002: 81-90 - [c72]Alexandru Nicolau, Nikil D. Dutt, Aviral Shrivastava, Partha Biswas, Ashok Halambi:
A Design Space Exploration Framework for Reduced Bit-Width Instruction Set Architecture (rISA) Design . ISSS 2002: 120-125 - [c71]Nikil D. Dutt, Daniel S. Hirschberg, Mahesh Mamidipaka:
Efficient Power Reduction Techniques for Time Multiplexed Address Buses. ISSS 2002: 207-212 - [c70]Alexandru Nicolau, Nikil D. Dutt, Rajesh Gupta, Nick Savoiu, Mehrdad Reshadi, Sumit Gupta:
Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis. ISSS 2002: 261-266 - [c69]Prabhat Mishra, Hiroyuki Tomiyama, Ashok Halambi, Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language. ASP-DAC/VLSI Design 2002: 458- - 2001
- [j21]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau, Francky Catthoor, Arnout Vandecappelle, Erik Brockmeyer, Chidamber Kulkarni, Eddy de Greef:
Data Memory Organization and Optimizations in Application-Specific Systems. IEEE Des. Test Comput. 18(3): 56-68 (2001) - [j20]Francky Catthoor, Koen Danckaert, Sven Wuytack, Nikil D. Dutt:
Code Transformations for Data Transfer and Storage Exploration Preprocessing in Multimedia Processors. IEEE Des. Test Comput. 18(3): 70-82 (2001) - [j19]Asheesh Khare, Ashok Halambi, Nicolae Savoiu, Peter Grun, Nikil D. Dutt, Alex Nicolau:
V-SAT: A visual specification and analysis tool for system-on-chip exploration. J. Syst. Archit. 47(3-4): 263-275 (2001) - [j18]Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg:
Data and memory optimization techniques for embedded systems. ACM Trans. Design Autom. Electr. Syst. 6(2): 149-206 (2001) - [c68]Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama, Ashok Halambi:
New directions in compiler technology for embedded systems (embedded tutorial). ASP-DAC 2001: 409-414 - [c67]Sumit Gupta, Nick Savoiu, Sunwoo Kim, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau:
Speculation Techniques for High Level Synthesis of Control Intensive Designs. DAC 2001: 269-272 - [c66]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Access pattern based local memory customization for low power embedded systems. DATE 2001: 778-784 - [c65]Prabhat Mishra, Nikil D. Dutt, Alex Nicolau:
Automatic validation of pipeline specifications. HLDVT 2001: 9-13 - [c64]Mahesh Mamidipaka, Daniel S. Hirschberg, Nikil D. Dutt:
Low power address encoding using self-organizing lists. ISLPED 2001: 188-193 - [c63]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
APEX: Access Pattern Based Memory Architecture Exploration. ISSS 2001: 25-32 - [c62]Sumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau:
Conditional speculation and its effects on performance and area for high-level snthesis. ISSS 2001: 171-176 - [c61]Prabhat Mishra, Nikil D. Dutt, Alexandru Nicolau:
Functional abstraction driven design space exploration of heterogeneous programmable architectures. ISSS 2001: 256-261 - [c60]Prabhat Mishra, Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Processor-Memory Co-Exploration driven by a Memory-Aware Architecture Description Language. VLSI Design 2001: 70-75 - [c59]Anupam Datta, Sidharth Choudhury, Anupam Basu, Hiroyuki Tomiyama, Nikil D. Dutt:
Satisfying Timing Constraints of Preemptive Real-Time Tasks through Task Layout Technique. VLSI Design 2001: 97-102 - 2000
- [j17]Pradip K. Jha, Nikil D. Dutt:
High-level library mapping for memories. ACM Trans. Design Autom. Electr. Syst. 5(3): 566-603 (2000) - [j16]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems. ACM Trans. Design Autom. Electr. Syst. 5(3): 682-704 (2000) - [j15]Allen C.-H. Wu, Nikil D. Dutt:
Guest editorial 11th international symposium on system-level synthesis and design (ISSS'98). IEEE Trans. Very Large Scale Integr. Syst. 8(5): 469-471 (2000) - [c58]Hiroyuki Tomiyama, Nikil D. Dutt:
Program path analysis to bound cache-related preemption delay in preemptive real-time systems. CODES 2000: 67-71 - [c57]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Memory aware compilation through accurate timing extraction. DAC 2000: 316-321 - [c56]Francky Catthoor, Nikil D. Dutt, Christoforos E. Kozyrakis:
How to Solve the Current Memory Access and Data Transfer Bottlenecks: At the Processor Architecture or at the Compiler Level? DATE 2000: 426-433 - [c55]Ashok Halambi, Radu Cornea, Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Architecture Exploration of Parameterizable EPIC SOC Architectures. DATE 2000: 748 - [c54]Hiroyuki Tomiyama, Taisei Yoshino, Nikil D. Dutt:
Verification of in-order execution in pipelined processors. HLDVT 2000: 40-44 - [c53]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
MIST: An Algorithm for Memory Miss Traffic Management. ICCAD 2000: 431-437 - [c52]Lode Nachtergaele, Vivek Tiwari, Nikil D. Dutt:
System and Architecture-Level Power Reduction for Microprocessor-Based Communication and Multi-Media Applications. ICCAD 2000: 569-573 - [c51]Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau:
Customizing Software Toolkits for Embedded Systems-On-Chip. DIPES 2000: 87-98 - [c50]Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
Aggressive Memory-Aware Compilation. Intelligent Memory Systems 2000: 147-151
1990 – 1999
- 1999
- [j14]Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau:
Augmenting Loop Tiling with Data Alignment for Improved Cache Performance. IEEE Trans. Computers 48(2): 142-149 (1999) - [j13]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Local memory exploration and optimization in embedded systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(1): 3-13 (1999) - [j12]Preeti Ranjan Panda, Nikil D. Dutt:
Low-power memory mapping through reducing address bus activity. IEEE Trans. Very Large Scale Integr. Syst. 7(3): 309-320 (1999) - [c49]Ashok Halambi, Peter Grun, Vijay Ganesh, Asheesh Khare, Nikil D. Dutt, Alexandru Nicolau:
EXPRESSION: A Language for Architecture Exploration through Compiler/Simulator Retargetability. DATE 1999: 485-490 - [c48]Asheesh Khare, Nicolae Savoiu, Ashok Halambi, Peter Grun, Nikil D. Dutt, Alexandru Nicolau:
V-SAT: A Visual Specification and Analysis Tool for System-On-Chip Exploration. EUROMICRO 1999: 1196-1203 - [c47]Nikil D. Dutt, Eric M. Foster:
Design of a set-top box system on a chip (abstract). ICCAD 1999: 608 - [c46]Nikil D. Dutt, Brian Kelley:
On the rapid prototyping and design of a wireless communication system on a chip (abstract). ICCAD 1999: 609 - [c45]Peter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau:
RTGEN: An Algorithm for Automatic Generation of Reservation Tables from Architectural Descriptions. ISSS 1999: 44-50 - 1998
- [j11]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Incorporating DRAM access modes into high-level synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(2): 96-109 (1998) - [c44]Peter Grun, Florin Balasa, Nikil D. Dutt:
Memory size estimation for multimedia applications. CODES 1998: 145-149 - [c43]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Data Cache Sizing for Embedded Processor Applications. DATE 1998: 925-926 - [c42]Soren Hein, Vijay Nagasamy, Bernhard Rohfleisch, Christoforos E. Kozyrakis, Nikil D. Dutt, Francky Catthoor:
Embedded memories in system design - from technology to systems architecture. ICCAD 1998: 1 - [c41]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt:
Copy Elimination for Parallelizing Compilers. LCPC 1998: 275-289 - 1997
- [j10]Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt:
A unified lower bound estimation technique for high-level synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(5): 458-472 (1997) - [j9]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Memory data organization for improved cache performance in embedded processor applications. ACM Trans. Design Autom. Electr. Syst. 2(4): 384-409 (1997) - [j8]Nikil D. Dutt, Pradip K. Jha:
RT Component Sets for High-Level Design Applications. VLSI Design 5(2): 155-165 (1997) - [c40]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Efficient utilization of scratch-pad memory in embedded processor applications. ED&TC 1997: 7-11 - [c39]Pradip K. Jha, Nikil D. Dutt:
Library mapping for memories. ED&TC 1997: 288-292 - [c38]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Exploiting off-chip memory access modes in high-level synthesis. ICCAD 1997: 333-340 - [c37]Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau:
A Data Alignment Technique for Improving Cache Performance. ICCD 1997: 587-592 - [c36]Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau:
Improving cache Performance Through Tiling and Data Alignment. IRREGULAR 1997: 167-185 - [c35]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Architectural Exploration and Optimization of Local Memory in Embedded Systems. ISSS 1997: 90- - [c34]Preeti Ranjan Panda, Nikil D. Dutt:
Behavioral Array Mapping into Multiport Memories Targeting Low Power. VLSI Design 1997: 268-273 - 1996
- [j7]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt:
Elimination of redundant memory traffic in high-level synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(11): 1354-1364 (1996) - [j6]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy:
Optimal register assignment to loops for embedded code generation. ACM Trans. Design Autom. Electr. Syst. 1(2): 251-279 (1996) - [j5]Pradip K. Jha, Nikil D. Dutt:
High-level library mapping for arithmetic components. IEEE Trans. Very Large Scale Integr. Syst. 4(2): 157-169 (1996) - [c33]Preeti Ranjan Panda, Nikil D. Dutt:
Reducing Address Bus Transitions for Low Power Memory Mapping. ED&TC 1996: 63-71 - [c32]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy:
A Method for Register Allocation to Loops in Multiple Register File Architectures. IPPS 1996: 28-33 - [c31]Preeti Ranjan Panda, Nikil D. Dutt:
Low-power mapping of behavioral arrays to multiple memories. ISLPED 1996: 289-292 - [c30]Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau:
Memory Organization for Improved Data Cache Performance in Embedded Processors. ISSS 1996: 90-95 - 1995
- [j4]Andrea Capitanio, Alexandru Nicolau, Nikil D. Dutt:
A hypergraph-based model for port allocation on multiple-register-file VLIW architectures. Int. J. Parallel Program. 23(6): 499-513 (1995) - [c29]Pradip K. Jha, Nikil D. Dutt, Sri Parameswaran:
Reclocking for high-level synthesis. ASP-DAC 1995 - [c28]Pradip K. Jha, Nikil D. Dutt:
Design reuse through high-level library mapping. ED&TC 1995: 345-350 - [c27]Frederick Onion, Alexandru Nicolau, Nikil D. Dutt:
Incorporating compiler feedback into the design of ASIPs. ED&TC 1995: 508-515 - [c26]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt, Ken Kennedy:
Optimal register assignment to loops for embedded code generation. ISSS 1995: 42-47 - [c25]Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu:
A comprehensive estimation technique for high-level synthesis. ISSS 1995: 122-127 - [c24]Preeti Ranjan Panda, Nikil D. Dutt:
1995 high level synthesis design repository. ISSS 1995: 170-174 - 1994
- [c23]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt:
Minimization of Memory Traffic in High-Level Synthesis. DAC 1994: 149-154 - [c22]Nikil D. Dutt, David Agnew, Raul Camposano, Antun Domic, Manfred Wiesel, Hiroto Yasuura:
Design Reuse: Fact or Fiction? (Panel). DAC 1994: 562 - [c21]Steven Novack, Alexandru Nicolau, Nikil D. Dutt:
A Unified code generation approach using mutation scheduling. Code Generation for Embedded Processors 1994: 203-218 - [c20]David J. Kolson, Alexandru Nicolau, Nikil D. Dutt:
Integrating program transformations in the memory-based synthesis of image and video algorithms. ICCAD 1994: 27-30 - [c19]Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt:
Comprehensive lower bound estimation from behavioral descriptions. ICCAD 1994: 182-187 - [c18]Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau:
Partitioning of Variables for Multiple-Register-File VLIW Architectures. ICPP (1) 1994: 298-301 - [c17]Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau:
Partitioning of Variables for Multiple-Register-File Architectures via Hypergraph Coloring. IFIP PACT 1994: 319-322 - [c16]Roger P. Ang, Nikil D. Dutt:
An algorithm for the allocation of functional units from realistic RT component libraries. HLSS 1994: 164-169 - [c15]Pradip K. Jha, Champaka Ramachandran, Nikil D. Dutt, Fadi J. Kurdahi:
An Empirical Study on the Effects of Physical Design in High-Level Synthesis. VLSI Design 1994: 11-16 - [c14]David J. Kolson, Nikil D. Dutt, Alexandru Nicolau:
Ultra Fine-Grain Template-Driven Synthesis. VLSI Design 1994: 25-28 - [c13]Pradip K. Jha, Nikil D. Dutt:
Rapid Technology Projection for High-Level Synthesis. VLSI Design 1994: 155-158 - 1993
- [j3]Nikil D. Dutt:
A language for designer controlled behavioral synthesis. Integr. 16(1): 1-31 (1993) - [j2]Pradip K. Jha, Nikil D. Dutt:
Rapid estimation for parameterized components in high-level synthesis. IEEE Trans. Very Large Scale Integr. Syst. 1(3): 296-303 (1993) - [c12]Roger P. Ang, Nikil D. Dutt:
A Representation for the Binding of RT-Component Functionality to HDL Behavior. CHDL 1993: 263-280 - [c11]Haigeng Wang, Nikil D. Dutt, Alexandru Nicolau, Kai-Yeung Siu:
High-Level Synthesis of Scalable Architectures for IIR Filters using Multichip Modules. DAC 1993: 336-342 - [c10]Haigeng Wang, Nikil D. Dutt, Alex Nicolau:
Regular schedules for scalable design of IIR filters. EURO-DAC 1993: 52-57 - [c9]Haigeng Wang, Nikil D. Dutt, Alexandru Nicolau:
Harmonic Scheduling: A Technique for Scheduling Beyond Loop-Carried Dependencies. VLSI Design 1993: 198-201 - 1992
- [b2]Daniel D. Gajski, Nikil D. Dutt, Allen C.-H. Wu:
Youn-Long Steve Lin. Springer 1992, ISBN 978-1-4613-6617-1, pp. 1-359 - [c8]Haigeng Wang, Nikil D. Dutt, Alexandru Nicolau:
Harmonic scheduling of linear recurrences for digital filter design. EURO-DAC 1992: 396-401 - [c7]Roger P. Ang, Nikil D. Dutt:
Equivalent design representations and transformations for interactive scheduling. ICCAD 1992: 332-335 - [c6]Daniel Gajski, Nikil D. Dutt:
Benchmarking and the Art of Syntesis Tool Comparison. Synthesis for Control Dominated Circuits 1992: 439-453 - [c5]Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau:
Partitioned register files for VLIWs: a preliminary analysis of tradeoffs. MICRO 1992: 292-300 - 1991
- [c4]Nikil D. Dutt, James R. Kipps:
Bridging High-Level Synthesis to RTL Technology Libraries. DAC 1991: 526-529 - 1990
- [j1]Nikil D. Dutt, Daniel D. Gajski:
Design Synthesis and Silicon Compilation. IEEE Des. Test Comput. 7(6): 8-23 (1990) - [c3]Nikil D. Dutt, Tedd Hadley, Daniel Gajski:
An Intermediate Representation for Behavioral Synthesis. DAC 1990: 14-19 - [c2]Nikil D. Dutt:
LEGEND: A Language for Generic Component Library Description. ICCL 1990: 198-207
1980 – 1989
- 1989
- [b1]Nikil D. Dutt:
A framework for behavioral synthesis from partial design structures. University of Illinois Urbana-Champaign, USA, 1989 - [c1]Nikil D. Dutt, Daniel Gajski:
Designer Controlled Behavioral Synthesis. DAC 1989: 754-757
Coauthor Index
aka: Eli Bozorgzadeh
aka: Minyoung Kim
aka: Jeff Krichmar
aka: Tiago Mück
aka: Majid Shoushtari
aka: Alex Nicolau
aka: Amir M. Rahmani
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-12-10 21:41 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint