default search action
David T. Blaauw
Person information
- affiliation: University of Michigan, Department of Electrical Engineering and Computer Science, Ann Arbor, MI, USA
- affiliation: Motorola Inc., Austin, TX, USA
- affiliation: University of Illinois at Urbana-Champaign, Center for Reliable and High Performance Computing, Urbana, IL, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j180]Inhee Lee, Roger Hsiao, Gordy Carichner, Chin-Wei Hsu, Mingyu Yang, Sara Shoouri, Katherine Ernst, Tess Carichner, Yuyang Li, Jaechan Lim, Cole R. Julick, Eunseong Moon, Yi Sun, Jamie Phillips, Kristi L. Montooth, Delbert A. Green II, Hun-Seok Kim, David T. Blaauw:
mSAIL: Milligram-Scale Multi-Modal Sensor Platform for Monarch Butterfly Migration Tracking. Commun. ACM 67(6): 93-101 (2024) - [j179]Anish Krishnakumar, Hanguang Yu, Tutu Ajayi, A. Alper Goksoy, Vishrut Pandey, Joshua Mack, Md Sahil Hassan, Kuan-Yu Chen, Chaitali Chakrabarti, Daniel W. Bliss, Ali Akoglu, Hun-Seok Kim, Ronald G. Dreslinski, David T. Blaauw, Ümit Y. Ogras:
FALCON: An FPGA Emulation Platform for Domain-Specific SoCs (DSSoCs). IEEE Des. Test 41(1): 70-80 (2024) - [j178]Heejin Yang, Ji-Hwan Seol, Rohit Rothe, Zichen Fan, Qirui Zhang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
A 1.5-μW Fully-Integrated Keyword Spotting SoC in 28-nm CMOS With Skip-RNN and Fast-Settling Analog Frontend for Adaptive Frame Skipping. IEEE J. Solid State Circuits 59(1): 29-39 (2024) - [j177]Jungho Lee, Joseph G. Letner, Jongyup Lim, Gabriele Atzeni, Jiawei Liao, Abhilasha Kamboj, Bhavika Mani, Seokhyeon Jeong, Yejoong Kim, Yi Sun, Beomseo Koo, Julianna M. Richie, Elena Della Valle, Paras R. Patel, Dennis Sylvester, Hun-Seok Kim, Taekwang Jang, Jamie Phillips, Cynthia A. Chestek, James D. Weiland, David T. Blaauw:
A Sub-mm3 Wireless Neural Stimulator IC for Visual Cortical Prosthesis With Optical Power Harvesting and 7.5-kb/s Data Telemetry. IEEE J. Solid State Circuits 59(4): 1110-1122 (2024) - [j176]Yimai Peng, Seokhyeon Jeong, Kyojin Choo, Yejoong Kim, Li-Yu Chen, Rohit Rothe, Li Xu, Ilya Gurin, Omid Oliaei, Matthew J. Thompson, Stephen Bart, Peter Hartwell, David T. Blaauw, Dennis Sylvester:
An Ultralow-Power Triaxial MEMS Accelerometer With High-Voltage Biasing and Electrostatic Mismatch Compensation. IEEE J. Solid State Circuits 59(7): 2219-2235 (2024) - [j175]Qirui Zhang, Zichen Fan, Hyochan An, Zhehong Wang, Ziyun Li, Guanru Wang, Pierre Abillama, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
RoboVisio: A Micro-Robot Vision Domain-Specific SoC for Autonomous Navigation Enabling Fully-on-Chip Intelligence via 2-MB eMRAM. IEEE J. Solid State Circuits 59(8): 2644-2658 (2024) - [j174]Zichen Fan, Qirui Zhang, Hyochan An, Boxun Xu, Li Xu, Chien-Wei Tseng, Yimai Peng, Andrea Bejarano-Carbo, Pierre Abillama, Ang Cao, Bowen Liu, Changwoo Lee, Zhehong Wang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
AIMMI: Audio and Image Multi-Modal Intelligence via a Low-Power SoC With 2-MByte On-Chip MRAM for IoT Devices. IEEE J. Solid State Circuits 59(10): 3488-3501 (2024) - [c429]Seungkyu Choi, Huanshihong Deng, Kuan-Yu Chen, Yufan Yue, David T. Blaauw, Hun-Seok Kim:
ParaBase: A Configurable Parallel Baseband Processor for Ultra-High-Speed Inter-Satellite Optical Communications. ISLPED 2024: 1-6 - [c428]Qirui Zhang, Mehdi Saligane, Hun-Seok Kim, David T. Blaauw, Georgios Tzimpragos, Dennis Sylvester:
Quantum Circuit Simulation with Fast Tensor Decision Diagram. ISQED 2024: 1-8 - [c427]Rohit Rothe, Jungho Lee, Zichen Fan, Li-Yu Chen, Donguk Seo, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
A uW Output Power, >100V, Single-Capacitor Switched DC-DC Up/Down Converter. VLSI Technology and Circuits 2024: 1-2 - [i9]Qirui Zhang, Mehdi Saligane, Hun-Seok Kim, David T. Blaauw, Georgios Tzimpragos, Dennis Sylvester:
Quantum Circuit Simulation with Fast Tensor Decision Diagram. CoRR abs/2401.11362 (2024) - 2023
- [j173]Timothy Dunn, David T. Blaauw, Reetuparna Das, Satish Narayanasamy:
nPoRe: n-polymer realigner for improved pileup-based variant calling. BMC Bioinform. 24(1): 98 (2023) - [j172]Yimai Peng, Gordy Carichner, Yejoong Kim, Li-Yu Chen, Rémy Tribhout, Benoît Piranda, Julien Bourgeois, David T. Blaauw, Dennis Sylvester:
A High-Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter. IEEE J. Solid State Circuits 58(4): 915-928 (2023) - [j171]Donguk Seo, Minsik Cho, Minhyeok Jeong, Gicheol Shin, Inhee Lee, David T. Blaauw, Yoonmyung Lee:
An RC Delay-Based Pressure-Sensing System With Energy-Efficient Bit-Level Oversampling Techniques for Implantable IOP Monitoring Systems. IEEE J. Solid State Circuits 58(10): 2745-2756 (2023) - [c426]Pierre Abillama, Zichen Fan, Yu Chen, Hyochan An, Qirui Zhang, Seungkyu Choi, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim:
SONA: An Accelerator for Transform-Domain Neural Networks with Sparse-Orthogonal Weights. ASAP 2023: 18-26 - [c425]Yimai Peng, Ashwin Bhat, Sanjay Wadhwa, David T. Blaauw, Dennis Sylvester:
A 4.6nW Subthreshold Voltage Reference with 400× Current Variation Reduction and 64-Step 0.11% Output Voltage Programmability. ESSCIRC 2023: 89-92 - [c424]Zichen Fan, Qirui Zhang, Pierre Abillama, Sara Shoouri, Changwoo Lee, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
TaskFusion: An Efficient Transfer Learning Architecture with Dual Delta Sparsity for Multi-Task Natural Language Processing. ISCA 2023: 5:1-5:14 - [c423]Yufeng Gu, Arun Subramaniyan, Timothy Dunn, Alireza Khadem, Kuan-Yu Chen, Somnath Paul, Md. Vasimuddin, Sanchit Misra, David T. Blaauw, Satish Narayanasamy, Reetuparna Das:
GenDP: A Framework of Dynamic Programming Acceleration for Genome Sequencing Analysis. ISCA 2023: 25:1-25:15 - [c422]Hyochan An, Yu Chen, Zichen Fan, Qirui Zhang, Pierre Abillama, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
An 8.09TOPS/W Neural Engine Leveraging Bit-Sparsified Sign-Magnitude Multiplications and Dual Adder Trees. ISSCC 2023: 422-423 - [c421]Ji-Hwan SeoI, Heejin Yang, Rohit Rothe, Zichen Fan, Qirui Zhang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
A $1.5\mu\mathrm{W}$ End-to-End Keyword Spotting SoC with Content-Adaptive Frame Sub-Sampling and Fast-Settling Analog Frontend. ISSCC 2023: 428-429 - [c420]Yunfan Wang, Steve Young, Demba Komma, Jaechan Lim, Zhen Feng, Zichen Fan, Chien-Wei Tseng, Hun-Seok Kim, David T. Blaauw:
Global Localization of Energy-Constrained Miniature RF Emitters using Low Earth Orbit Satellites. SenSys 2023: 403-416 - [c419]Jungho Lee, Joseph G. Letner, Jongyup Lim, Yi Sun, Seokhyeon Jeong, Yejoong Kim, Beomseo Koo, Gabriele Atzeni, Jiawei Liao, Julianna M. Richie, Elena Della Valle, Paras R. Patel, Taekwang Jang, Cynthia A. Chestek, Jamie Phillips, James D. Weiland, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
A Wireless Neural Stimulator IC for Cortical Visual Prosthesis. VLSI Technology and Circuits 2023: 1-2 - [c418]Chien-Wei Tseng, Zhen Feng, Zichen Fan, Hyochan An, Yunfan Wang, Hun-Seok Kim, David T. Blaauw:
A Reconfigurable Analog FIR Filter Achieving -70dB Rejection with Sharp Transition for Narrowband Receivers. VLSI Technology and Circuits 2023: 1-2 - 2022
- [j170]Li Xu, Taekwang Jang, Jongyup Lim, Kyojin David Choo, David T. Blaauw, Dennis Sylvester:
A 510-pW 32-kHz Crystal Oscillator With High Energy-to-Noise-Ratio Pulse Injection. IEEE J. Solid State Circuits 57(2): 434-451 (2022) - [j169]Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor N. Mudge, Chaitali Chakrabarti, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
Versa: A 36-Core Systolic Multiprocessor With Dynamically Reconfigurable Interconnect and Memory. IEEE J. Solid State Circuits 57(4): 986-998 (2022) - [j168]Jongyup Lim, Jungho Lee, Eunseong Moon, Michael Barrow, Gabriele Atzeni, Joseph G. Letner, Joseph T. Costello, Samuel R. Nason, Paras R. Patel, Yi Sun, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David T. Blaauw, Dennis Sylvester, Taekwang Jang:
A Light-Tolerant Wireless Neural Recording IC for Motor Prediction With Near-Infrared-Based Power and Data Telemetry. IEEE J. Solid State Circuits 57(4): 1061-1074 (2022) - [j167]Rohit Rothe, Minchang Cho, Kyojin Choo, Seokhyeon Jeong, Sechang Oh, Jungho Lee, Dennis Sylvester, David T. Blaauw:
A Delta Sigma-Modulated Sample and Average Common-Mode Feedback Technique for Capacitively Coupled Amplifiers in a 192-nW Acoustic Analog Front-End. IEEE J. Solid State Circuits 57(4): 1138-1152 (2022) - [j166]Sujin Park, Ji-Hwan Seol, Li Xu, SeongHwan Cho, Dennis Sylvester, David T. Blaauw:
A 43 nW, 32 kHz, ±4.2 ppm Piecewise Linear Temperature-Compensated Crystal Oscillator With ΔΣ-Modulated Load Capacitance. IEEE J. Solid State Circuits 57(4): 1175-1186 (2022) - [j165]Inhee Lee, Roger Hsiao, Gordy Carichner, Chin-Wei Hsu, Mingyu Yang, Sara Shoouri, Katherine Ernst, Tess Carichner, Yuyang Li, Jaechan Lim, Cole R. Julick, Eunseong Moon, Yi Sun, Jamie Phillips, Kristi L. Montooth, Delbert A. Green II, Hun-Seok Kim, David T. Blaauw:
Tracking the Migration of the Monarch Butterflies with the World's Smallest Computer. GetMobile Mob. Comput. Commun. 26(1): 25-29 (2022) - [j164]Hyochan An, Samuel R. Nason-Tomaszewski, Jongyup Lim, Kyumin Kwon, Matthew S. Willsey, Parag G. Patil, Hun-Seok Kim, Dennis Sylvester, Cynthia A. Chestek, David T. Blaauw:
A Power-Efficient Brain-Machine Interface System With a Sub-mw Feature Extraction and Decoding ASIC Demonstrated in Nonhuman Primates. IEEE Trans. Biomed. Circuits Syst. 16(3): 395-408 (2022) - [c417]Xin He, Kuan-Yu Chen, Siying Feng, Hun-Seok Kim, David T. Blaauw, Ronald G. Dreslinski, Trevor N. Mudge:
Squaring the circle: Executing Sparse Matrix Computations on FlexTPU - A TPU-Like Processor. PACT 2022: 148-159 - [c416]Leul Belayneh, Haojie Ye, Kuan-Yu Chen, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski, Nishil Talati:
Locality-Aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems. PACT 2022: 304-316 - [c415]Yichen Gu, David T. Blaauw, Joshua D. Welch:
Variational Mixtures of ODEs for Inferring Cellular Gene Expression Dynamics. ICML 2022: 7887-7901 - [c414]Nishil Talati, Haojie Ye, Yichen Yang, Leul Belayneh, Kuan-Yu Chen, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski:
NDMiner: accelerating graph pattern mining using near data processing. ISCA 2022: 146-159 - [c413]Siying Feng, Xin He, Kuan-Yu Chen, Liu Ke, Xuan Zhang, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski:
MeNDA: a near-memory multi-way merge solution for sparse transposition and dataflows. ISCA 2022: 245-258 - [c412]Yan Xiong, Jingtao Li, David T. Blaauw, Hun-Seok Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti:
Improving Energy Efficiency of Convolutional Neural Networks on Multi-core Architectures through Run-time Reconfiguration. ISCAS 2022: 375-379 - [c411]Daniel W. Bliss, Tutu Ajayi, Ali Akoglu, Ilkin Aliyev, Toygun Basaklar, Leul Belayneh, David T. Blaauw, John S. Brunhaver, Chaitali Chakrabarti, Liangliang Chang, Kuan-Yu Chen, Ming-Hung Chen, Xing Chen, Alex R. Chiriyath, Alhad Daftardar, Ronald G. Dreslinski, Arindam Dutta, Allen-Jasmin Farcas, Y. Fu, A. Alper Goksoy, X. He, Md Sahil Hassan, Andrew Herschfelt, Jacob Holtom, Hun-Seok Kim, A. N. Krishnakumar, Y. Li, Owen Ma, Joshua Mack, Saurav Mallik, Sumit K. Mandal, Radu Marculescu, Brittany M. McCall, Trevor N. Mudge, Ümit Y. Ogras, Vishrut Pandey, Saquib Ahmad Siddiqui, Yu-Hsiu Sun, Adarsh A. Venkataramani, Xiangdong Wei, B. R. Willis, Hanguang Yu, Yufan Yue:
Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous Processor. ISCAS 2022: 443-447 - [c410]Yufan Yue, Tutu Ajayi, Xueyang Liu, Peiwen Xing, Zihan Wang, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
A Unified Forward Error Correction Accelerator for Multi-Mode Turbo, LDPC, and Polar Decoding. ISLPED 2022: 23:1-23:6 - [c409]Li Xu, Maya Lassiter, Xiao Wu, Yejoong Kim, Jungho Lee, Makoto Yasuda, Masaru Kawaminami, Marc Miskin, David T. Blaauw, Dennis Sylvester:
A 210×340×50µm Integrated CMOS System f0r Micro-Robots with Energy Harvesting, Sensing, Processing, Communication and Actuation. ISSCC 2022: 1-3 - [c408]Chien-Wei Tseng, Demba Komma, Kuan-Yu Chen, Rohit Rothe, Zhen Feng, Makoto Yasuda, Masaru Kawaminami, Hun-Seok Kim, David T. Blaauw:
A Long-Range Narrowband RF Localization System with a Crystal-Less Frequency-Hopping Receiver. ISSCC 2022: 392-394 - [c407]Nishil Talati, Haojie Ye, Sanketh Vedula, Kuan-Yu Chen, Yuhan Chen, Daniel Liu, Yichao Yuan, David T. Blaauw, Alex M. Bronstein, Trevor N. Mudge, Ronald G. Dreslinski:
Mint: An Accelerator For Mining Temporal Motifs. MICRO 2022: 1270-1287 - [c406]Zichen Fan, Hyochan An, Qirui Zhang, Boxun Xu, Li Xu, Chien-Wei Tseng, Yimai Peng, Ang Cao, Bowen Liu, Changwoo Lee, Zhehong Wang, Fanghao Liu, Guanru Wang, Shenghao Jiang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
Audio and Image Cross-Modal Intelligence via a 10TOPS/W 22nm SoC with Back-Propagation and Dynamic Power Gating. VLSI Technology and Circuits 2022: 18-19 - [c405]Gabriele Atzeni, Jongyup Lim, Jiawei Liao, Alessandro Novello, Jungho Lee, Eunseong Moon, Michael Barrow, Joseph G. Letner, Joseph T. Costello, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David T. Blaauw, Taekwang Jang:
A 260×274 μm2 572 nW Neural Recording Micromote Using Near-Infrared Power Transfer and an RF Data Uplink. VLSI Technology and Circuits 2022: 64-65 - [c404]Qirui Zhang, Hyochan An, Zichen Fan, Zhehong Wang, Ziyun Li, Guanru Wang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
A 22nm 3.5TOPS/W Flexible Micro-Robotic Vision SoC with 2MB eMRAM for Fully-on-Chip Intelligence. VLSI Technology and Circuits 2022: 72-73 - [c403]Yimai Peng, Seokhyeon Jeong, Kyojin Choo, Yejoong Kim, Li-Yu Chen, Rohit Rothe, Li Xu, Ilya Gurin, Omid Oliaei, Vadim Tsinker, Stephen Bart, Peter Hartwell, David T. Blaauw, Dennis Sylvester:
A 184nW, 121µg/√Hz Noise Floor Triaxial MEMS Accelerometer with Integrated CMOS Readout Circuit and Variation-Compensated High Voltage MEMS Biasing. VLSI Technology and Circuits 2022: 84-85 - [c402]Yimai Peng, Gordy Carichner, Yejoong Kim, Li-Yu Chen, Rémy Tribhout, Benoît Piranda, Julien Bourgeois, David T. Blaauw, Dennis Sylvester:
A 286nW, 103V High Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter. VLSI Technology and Circuits 2022: 158-159 - [c401]Kuan-Yu Chen, Chi-Sheng Yang, Yu-Hsiu Sun, Chien-Wei Tseng, Morteza Fayazi, Xin He, Siying Feng, Yufan Yue, Trevor N. Mudge, Ronald G. Dreslinski, Hun-Seok Kim, David T. Blaauw:
A 507 GMACs/J 256-Core Domain Adaptive Systolic-Array-Processor for Wireless Communication and Linear-Algebra Kernels in 12nm FINFET. VLSI Technology and Circuits 2022: 202-203 - [i8]Zhehong Wang, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
Hardware Acceleration for Third-Generation FHE and PSI Based on It. CoRR abs/2204.11334 (2022) - [i7]Yichen Gu, David T. Blaauw, Joshua D. Welch:
Variational Mixtures of ODEs for Inferring Cellular Gene Expression Dynamics. CoRR abs/2207.04166 (2022) - 2021
- [j163]Zhehong Wang, Tianjun Zhang, Daichi Fujiki, Arun Subramaniyan, Xiao Wu, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Reetuparna Das, Satish Narayanasamy, David T. Blaauw:
A 2.46M Reads/s Seed-Extension Accelerator for Next-Generation Sequencing Using a String-Independent PE Array. IEEE J. Solid State Circuits 56(3): 824-833 (2021) - [j162]Hyochan An, Sam Schiferl, Siddharth Venkatesan, Tim Wesley, Qirui Zhang, Jingcheng Wang, Kyojin Choo, Shiyu Liu, Bowen Liu, Ziyun Li, Luyao Gong, Hengfei Zhong, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim, Dennis Sylvester:
An Ultra-Low-Power Image Signal Processor for Hierarchical Image Recognition With Deep Neural Networks. IEEE J. Solid State Circuits 56(4): 1071-1081 (2021) - [j161]Ziyun Li, Zhehong Wang, Li Xu, Qing Dong, Bowen Liu, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
RRAM-DNN: An RRAM and Model-Compression Empowered All-Weights-On-Chip DNN Accelerator. IEEE J. Solid State Circuits 56(4): 1105-1115 (2021) - [j160]Ji-Hwan Seol, Kyojin Choo, David T. Blaauw, Dennis Sylvester, Taekwang Jang:
Reference Oversampling PLL Achieving -256-dB FoM and -78-dBc Reference Spur. IEEE J. Solid State Circuits 56(10): 2993-3007 (2021) - [c400]Li Xu, Jeongsup Lee, Mehdi Saligane, David T. Blaauw, Dennis Sylvester:
Design Techniques of Integrated Power Management Circuits for Low Power Edge Devices. CICC 2021: 1-4 - [c399]Arun Subramaniyan, Jack Wadden, Kush Goliya, Nathan Ozog, Xiao Wu, Satish Narayanasamy, David T. Blaauw, Reetuparna Das:
Accelerated Seeding for Genome Sequence Alignment with Enumerated Radix Trees. ISCA 2021: 388-401 - [c398]Arun Subramaniyan, Yufeng Gu, Timothy Dunn, Somnath Paul, Md. Vasimuddin, Sanchit Misra, David T. Blaauw, Satish Narayanasamy, Reetuparna Das:
GenomicsBench: A Benchmark Suite for Genomics. ISPASS 2021: 1-12 - [c397]Jens Anders, Taeik Kim, David T. Blaauw:
Session 5 Overview: Analog Interfaces Analog Subcommittee. ISSCC 2021: 70-71 - [c396]Kyojin Choo, Hyochan An, Dennis Sylvester, David T. Blaauw:
14.1-ENOB 184.9dB-FoM Capacitor-Array-Assisted Cascaded Charge-Injection SAR ADC. ISSCC 2021: 372-374 - [c395]Timothy Dunn, Harisankar Sadasivan, Jack Wadden, Kush Goliya, Kuan-Yu Chen, David T. Blaauw, Reetuparna Das, Satish Narayanasamy:
SquiggleFilter: An Accelerator for Portable Virus Detection. MICRO 2021: 535-549 - [c394]Inhee Lee, Roger Hsiao, Gordy Carichner, Chin-Wei Hsu, Mingyu Yang, Sara Shoouri, Katherine Ernst, Tess Carichner, Yuyang Li, Jaechan Lim, Cole R. Julick, Eunseong Moon, Yi Sun, Jamie Phillips, Kristi L. Montooth, Delbert A. Green II, Hun-Seok Kim, David T. Blaauw:
mSAIL: milligram-scale multi-modal sensor platform for monarch butterfly migration tracking. MobiCom 2021: 517-530 - [c393]Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor N. Mudge, Chaitali Chakrabarti, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
Versa: A Dataflow-Centric Multiprocessor with 36 Systolic ARM Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm. VLSI Circuits 2021: 1-2 - [c392]Jongyup Lim, Jungho Lee, Eunseong Moon, Michael Barrow, Gabriele Atzeni, Joseph G. Letner, Joseph T. Costello, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David T. Blaauw, Dennis Sylvester, Taekwang Jang:
A Light Tolerant Neural Recording IC for Near-Infrared-Powered Free Floating Motes. VLSI Circuits 2021: 1-2 - [c391]Sujin Park, Ji-Hwan Seol, Li Xu, Dennis Sylvester, David T. Blaauw:
A 43nW 32kHz Pulsed Injection TCXO with 4.2ppm Accuracy Using ∆Σ Modulated Load Capacitance. VLSI Circuits 2021: 1-2 - [c390]Rohit Rothe, Minchang Cho, Kyojin Choo, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw:
A 192 nW 0.02 Hz High Pass Corner Acoustic Analog Front-End with Automatic Saturation Detection and Recovery. VLSI Circuits 2021: 1-2 - [i6]Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor N. Mudge, Chaitali Chakrabarti, David T. Blaauw, Ronald G. Dreslinski, Hun-Seok Kim:
Versa: A Dataflow-Centric Multiprocessor with 36 Systolic ARM Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm. CoRR abs/2109.03024 (2021) - 2020
- [j159]Jingcheng Wang, Xiaowei Wang, Charles Eckert, Arun Subramaniyan, Reetuparna Das, David T. Blaauw, Dennis Sylvester:
A 28-nm Compute SRAM With Bit-Serial Logic/Arithmetic Operations for Programmable In-Memory Vector Computing. IEEE J. Solid State Circuits 55(1): 76-86 (2020) - [j158]Jeongsup Lee, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester, Yiqun Zhang, Qing Dong, Wootaek Lim, Mehdi Saligane, Yejoong Kim, Seokhyeon Jeong, Jongyup Lim, Makoto Yasuda:
A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy-Optimal Operation. IEEE J. Solid State Circuits 55(1): 87-97 (2020) - [j157]Dong-Hyeon Park, Subhankar Pal, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael Bedford Taylor, Trevor N. Mudge, David T. Blaauw, Hun-Seok Kim, Ronald G. Dreslinski:
A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator. IEEE J. Solid State Circuits 55(4): 933-944 (2020) - [j156]Li-Xuan Chuo, Zhen Feng, Yejoong Kim, Nikolaos Chiotellis, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Anthony Grbic, David D. Wentzloff, David T. Blaauw, Hun-Seok Kim:
Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency-Interlocking IF Receiver for a Fully Integrated 4 $\times$ 4 $\times$ 4 mm3 Wireless Sensor Node. IEEE J. Solid State Circuits 55(5): 1128-1138 (2020) - [j155]David T. Blaauw, Hoi Lee, John P. Keane, Jaehyouk Choi, Sudhakar Pamarti:
Introduction to the Special Issue on the 2020 IEEE International Solid-State Circuits Conference (ISSCC). IEEE J. Solid State Circuits 55(12): 3127-3130 (2020) - [c389]Subhankar Pal, Siying Feng, Dong-Hyeon Park, Sung Kim, Aporva Amarnath, Chi-Sheng Yang, Xin He, Jonathan Beaumont, Kyle May, Yan Xiong, Kuba Kaszyk, John Magnus Morton, Jiawen Sun, Michael F. P. O'Boyle, Murray Cole, Chaitali Chakrabarti, David T. Blaauw, Hun-Seok Kim, Trevor N. Mudge, Ronald G. Dreslinski:
Transmuter: Bridging the Efficiency Gap using Memory and Dataflow Reconfiguration. PACT 2020: 175-190 - [c388]Jeongsup Lee, Yejoong Kim, Minchang Cho, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
AµProcessor Layer for mm-Scale Die-Stacked Sensing Platforms Featuring Ultra-Low Power Sleep Mode at 125°C. A-SSCC 2020: 1-4 - [c387]Zhehong Wang, Tianjun Zhang, Daichi Fujiki, Arun Subramaniyan, Xiao Wu, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Reetuparna Das, Satish Narayanasamy, David T. Blaauw:
A 2.46M reads/s Genome Sequencing Accelerator using a 625 Processing-Element Array. CICC 2020: 1-4 - [c386]Mingyu Yang, Roger Hsiao, Gordy Carichner, Katherine Ernst, Jaechan Lim, Delbert A. Green II, Inhee Lee, David T. Blaauw, Hun-Seok Kim:
Migrating Monarch Butterfly Localization Using Multi-Modal Sensor Fusion Neural Networks. EUSIPCO 2020: 1792-1796 - [c385]A. Soorishetty, Jian Zhou, Subhankar Pal, David T. Blaauw, H. Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti:
Accelerating Linear Algebra Kernels on a Massively Parallel Reconfigurable Architecture. ICASSP 2020: 1558-1562 - [c384]Yan Xiong, Jian Zhou, Subhankar Pal, David T. Blaauw, Hun-Seok Kim, Trevor N. Mudge, Ronald G. Dreslinski, Chaitali Chakrabarti:
Accelerating Deep Neural Network Computation on a Low Power Reconfigurable Architecture. ISCAS 2020: 1-5 - [c383]Li Xu, Tae-Kwang Jang, Jongyup Lim, Kyojin David Choo, David T. Blaauw, Dennis Sylvester:
3.3 A 0.51nW 32kHz Crystal Oscillator Achieving 2ppb Allan Deviation Floor Using High-Energy-to-Noise-Ratio Pulse Injection. ISSCC 2020: 62-64 - [c382]Jongyup Lim, Eunseong Moon, Michael Barrow, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Sechang Oh, Inhee Lee, Hun-Seok Kim, Dennis Sylvester, David T. Blaauw, Cynthia A. Chestek, Jamie Phillips, Tae-Kwang Jang:
26.9 A 0.19×0.17mm2 Wireless Neural Recording IC for Motor Prediction with Near-Infrared-Based Power and Data Telemetry. ISSCC 2020: 416-418 - [c381]Daichi Fujiki, Shunhao Wu, Nathan Ozog, Kush Goliya, David T. Blaauw, Satish Narayanasamy, Reetuparna Das:
SeedEx: A Genome Sequencing Accelerator for Optimal Alignments in Subminimal Space. MICRO 2020: 937-950 - [c380]Jongyup Lim, Myungjoon Choi, Bowen Liu, Taewook Kang, Ziyun Li, Zhehong Wang, Yiqun Zhang, Kaiyuan Yang, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
AA-ResNet: Energy Efficient All-Analog ResNet Accelerator. MWSCAS 2020: 603-606 - [c379]Tutu Ajayi, Sumanth Kamineni, Morteza Fayazi, Yaswanth K. Cherivirala, Kyumin Kwon, Shourya Gupta, Wenbo Duan, Jeongsup Lee, Chien-Hen Chen, Mehdi Saligane, Dennis Sylvester, David T. Blaauw, Ronald Dreslinski Jr., Benton H. Calhoun, David D. Wentzloff:
Fully-Autonomous SoC Synthesis Using Customizable Cell-Based Analog and Mixed-Signal Circuits Generation. VLSI-SoC (Selected Papers) 2020: 65-85 - [c378]Tutu Ajayi, Sumanth Kamineni, Yaswanth K. Cherivirala, Morteza Fayazi, Kyumin Kwon, Mehdi Saligane, Shourya Gupta, Chien-Hen Chen, Dennis Sylvester, David T. Blaauw, Ronald G. Dreslinski, Benton H. Calhoun, David D. Wentzloff:
An Open-source Framework for Autonomous SoC Design with Analog Block Generation. VLSI-SOC 2020: 141-146 - [c377]Xiao Wu, Arun Subramaniyan, Zhehong Wang, Satish Narayanasamy, Reetu Das, David T. Blaauw:
17.3 GCUPS Pruning-Based Pair-Hidden-Markov-Model Accelerator for Next-Generation DNA Sequencing. VLSI Circuits 2020: 1-2 - [c376]Hyochan An, Siddharth Venkatesan, Sam Schiferl, Tim Wesley, Qirui Zhang, Jingcheng Wang, Kyojin Choo, Shiyu Liu, Bowen Liu, Ziyun Li, Hengfei Zhong, Luyao Gong, David T. Blaauw, Ronald G. Dreslinski, Dennis Sylvester, Hun-Seok Kim:
A 170μW Image Signal Processor Enabling Hierarchical Image Recognition for Intelligence at the Edge. VLSI Circuits 2020: 1-2 - [c375]Seokhyeon Jeong, Yejoong Kim, Gyouho Kim, David T. Blaauw:
A Pressure Sensing System with ±0.75 mmHg (3σ) Inaccuracy for Battery-Powered Low Power IoT Applications. VLSI Circuits 2020: 1-2 - [c374]Rohit Rothe, Sechang Oh, Kyojin David Choo, Seokhyeon Jeong, Minchang Cho, Dennis Sylvester, David T. Blaauw:
Sample and Average Common-Mode Feedback in a 101 nW Acoustic Amplifier. VLSI Circuits 2020: 1-2 - [c373]Jingcheng Wang, Hyochan An, Qirui Zhang, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
1.03pW/b Ultra-Low Leakage Voltage-Stacked SRAM for Intelligent Edge Processors. VLSI Circuits 2020: 1-2 - [c372]Zhehong Wang, Ziyun Li, Li Xu, Qing Dong, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun-Seok Kim, David T. Blaauw:
An All-Weights-on-Chip DNN Accelerator in 22nm ULL Featuring 24×1 Mb eRRAM. VLSI Circuits 2020: 1-2
2010 – 2019
- 2019
- [j154]Sechang Oh, David T. Blaauw, Dennis Sylvester:
The Internet of Tiny Things: Recent Advances of Millimeter-Scale Computing. IEEE Des. Test 36(2): 65-72 (2019) - [j153]Qing Dong, Zhehong Wang, Jongyup Lim, Yiqun Zhang, Mahmut E. Sinangil, Yi-Chun Shih, Yu-Der Chih, Tsung-Yung Jonathan Chang, David T. Blaauw, Dennis Sylvester:
A 1-Mb 28-nm 1T1MTJ STT-MRAM With Single-Cap Offset-Cancelled Sense Amplifier and In Situ Self-Write-Termination. IEEE J. Solid State Circuits 54(1): 231-239 (2019) - [j152]Junwon Jeong, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw, Chulwoo Kim:
A 42 nJ/Conversion On-Demand State-of-Charge Indicator for Miniature IoT Li-Ion Batteries. IEEE J. Solid State Circuits 54(2): 524-537 (2019) - [j151]Ziyun Li, Jingcheng Wang, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
A 1920 $\times$ 1080 25-Frames/s 2.4-TOPS/W Low-Power 6-D Vision Processor for Unified Optical Flow and Stereo Depth With Semi-Global Matching. IEEE J. Solid State Circuits 54(4): 1048-1058 (2019) - [j150]Kyojin David Choo, Li Xu, Yejoong Kim, Ji-Hwan Seol, Xiao Wu, Dennis Sylvester, David T. Blaauw:
Energy-Efficient Motion-Triggered IoT CMOS Image Sensor With Capacitor Array-Assisted Charge-Injection SAR ADC. IEEE J. Solid State Circuits 54(11): 2921-2931 (2019) - [j149]Sechang Oh, Minchang Cho, Zhan Shi, Jongyup Lim, Yejoong Kim, Seokhyeon Jeong, Yu Chen, Rohit Rothe, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification. IEEE J. Solid State Circuits 54(11): 3005-3016 (2019) - [j148]Yimai Peng, Kyojin David Choo, Sechang Oh, Inhee Lee, Tae-Kwang Jang, Yejoong Kim, Jongyup Lim, David T. Blaauw, Dennis Sylvester:
An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier. IEEE J. Solid State Circuits 54(12): 3348-3361 (2019) - [j147]Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Dennis Sylvester, David T. Blaauw, Reetuparna Das, Ravi R. Iyer:
Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks. IEEE Micro 39(3): 11-19 (2019) - [j146]Ziyun Li, Jiang Xiang, Luyao Gong, David T. Blaauw, Chaitali Chakrabarti, Hun-Seok Kim:
Low Complexity, Hardware-Efficient Neighbor-Guided SGM Optical Flow for Low-Power Mobile Vision Applications. IEEE Trans. Circuits Syst. Video Technol. 29(7): 2191-2204 (2019) - [c371]Sechang Oh, Minchang Cho, Xiao Wu, Yejoong Kim, Li-Xuan Chuo, Wootaek Lim, Pat Pannuto, Suyoung Bang, Kaiyuan Yang, Hun-Seok Kim, Dennis Sylvester, David T. Blaauw:
IoT2 - the Internet of Tiny Things: Realizing mm-Scale Sensors through 3D Die Stacking. DATE 2019: 686-691 - [c370]Kyojin David Choo, Li Xu, Yejoong Kim, Ji-Hwan Seol, Xiao Wu, Dennis Sylvester, David T. Blaauw:
Energy-Efficient Low-Noise CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC for Motion-Triggered Low-Power IoT Applications. ISSCC 2019: 96-98 - [c369]Ziyun Li, Yu Chen, Luyao Gong, Lu Liu, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
An 879GOPS 243mW 80fps VGA Fully Visual CNN-SLAM Processor for Wide-Range Autonomous Exploration. ISSCC 2019: 134-136 - [c368]Jingcheng Wang, Xiaowei Wang, Charles Eckert, Arun Subramaniyan, Reetuparna Das, David T. Blaauw, Dennis Sylvester:
A Compute SRAM with Bit-Serial Integer/Floating-Point Operations for Programmable In-Memory Vector Acceleration. ISSCC 2019: 224-226 - [c367]Minchang Cho, Sechang Oh, Zhan Shi, Jongyup Lim, Yejoong Kim, Seokhyeon Jeong, Yu Chen, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
A 142nW Voice and Acoustic Activity Detection Chip for mm-Scale Sensor Nodes Using Time-Interleaved Mixer-Based Frequency Scanning. ISSCC 2019: 278-280 - [c366]Jeongsup Lee, Yiqun Zhang, Qing Dong, Wootaek Lim, Mehdi Saligane, Yejoong Kim, Seokhyeon Jeong, Jongyup Lim, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
A 6.4pJ/Cycle Self-Tuning Cortex-M0 IoT Processor Based on Leakage-Ratio Measurement for Energy-Optimal Operation Across Wide-Range PVT Variation. ISSCC 2019: 314-315 - [c365]Yimai Peng, Kyojin David Choo, Sechang Oh, Inhee Lee, Tae-Kwang Jang, Yejoong Kim, Jongyup Lim, David T. Blaauw, Dennis Sylvester:
An Adiabatic Sense and Set Rectifier for Improved Maximum-Power-Point Tracking in Piezoelectric Harvesting with 541% Energy Extraction Gain. ISSCC 2019: 422-424 - [c364]Yao Shi, Xing Chen, Hun-Seok Kim, David T. Blaauw, David D. Wentzloff:
A 606μW mm-Scale Bluetooth Low-Energy Transmitter Using Co-Designed 3.5×3.5mm2 Loop Antenna and Transformer-Boost Power Oscillator. ISSCC 2019: 442-444 - [c363]Inhee Lee, David T. Blaauw:
A 31 pW-to-113 nW Hybrid BJT and CMOS Voltage Reference with 3.6% ±3σ-inaccuracy from 0○C to 170 ○C for Low-Power High-Temperature IoT Systems. VLSI Circuits 2019: 142- - [c362]Subhankar Pal, Dong-Hyeon Park, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael B. Taylor, Trevor N. Mudge, David T. Blaauw, Hun-Seok Kim, Ronald G. Dreslinski:
A 7.3 M Output Non-Zeros/J Sparse Matrix-Matrix Multiplication Accelerator using Memory Reconfiguration in 40 nm. VLSI Circuits 2019: 150- - [c361]Ji-Hwan Seol, Dennis Sylvester, David T. Blaauw, Tae-Kwang Jang:
A Reference Oversampling Digital Phase-Locked Loop with -240 dB FOM and -80 dBc Reference Spur. VLSI Circuits 2019: 160- - [c360]Inhee Lee, Eunseong Moon, Yejoong Kim, Jamie Phillips, David T. Blaauw:
A 10mm3 Light-Dose Sensing IoT2 System With 35-To-339nW 10-To-300klx Light-Dose-To-Digital Converter. VLSI Circuits 2019: 180- - [c359]Taewook Kang, Inhee Lee, Sechang Oh, Tae-Kwang Jang, Yejoong Kim, Hyochan Ahn, Gyouho Kim, Se-Un Shin, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw:
A 1.74.12 mm3 Fully Integrated pH Sensor for Implantable Applications using Differential Sensing and Drift-Compensation. VLSI Circuits 2019: 310- - [i5]Najme Ebrahimi, Hun-Seok Kim, David T. Blaauw:
Simultaneous Interference-Data Transmission for Secret Key Generation in Distributed IoT Sensor Networks. CoRR abs/1910.13355 (2019) - [i4]Mingyu Yang, Roger Hsiao, Gordy Carichner, Katherine Ernst, Jaechan Lim, Delbert A. Green II, Inhee Lee, David T. Blaauw, Hun-Seok Kim:
Migrating Monarch Butterfly Localization Using Multi-Sensor Fusion Neural Networks. CoRR abs/1912.06907 (2019) - 2018
- [j145]Maria Gamella, Inhee Lee, Nataliia Guz, David T. Blaauw, Evgeny Katz:
Bioelectronic Interface between Biomolecular Logic Systems and Microelectronics. Int. J. Unconv. Comput. 14(1): 27-41 (2018) - [j144]Tae-Kwang Jang, Seokhyeon Jeong, Dongsuk Jeon, Kyojin David Choo, Dennis Sylvester, David T. Blaauw:
A Noise Reconfigurable All-Digital Phase-Locked Loop Using a Switched Capacitor-Based Frequency-Locked Loop and a Noise Detector. IEEE J. Solid State Circuits 53(1): 50-65 (2018) - [j143]Ziyun Li, Qing Dong, Mehdi Saligane, Benjamin P. Kempke, Luyao Gong, Zhengya Zhang, Ronald G. Dreslinski, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
A 1920 × 1080 30-frames/s 2.3 TOPS/W Stereo-Depth Processor for Energy-Efficient Autonomous Navigation of Micro Aerial Vehicles. IEEE J. Solid State Circuits 53(1): 76-90 (2018) - [j142]Seokhyeon Jeong, Yu Chen, Tae-Kwang Jang, Julius Ming-Lin Tsai, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
Always-On 12-nW Acoustic Sensing and Object Recognition Microsystem for Unattended Ground Sensor Nodes. IEEE J. Solid State Circuits 53(1): 261-274 (2018) - [j141]Yiqun Zhang, Mahmood Khayatzadeh, Kaiyuan Yang, Mehdi Saligane, Nathaniel Ross Pinckney, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor. IEEE J. Solid State Circuits 53(2): 619-631 (2018) - [j140]Yiqun Zhang, Li Xu, Qing Dong, Jingcheng Wang, David T. Blaauw, Dennis Sylvester:
Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security. IEEE J. Solid State Circuits 53(4): 995-1005 (2018) - [j139]Qing Dong, Supreet Jeloka, Mehdi Saligane, Yejoong Kim, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, Makoto Yasuda, David T. Blaauw, Dennis Sylvester:
A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V VDDmin. IEEE J. Solid State Circuits 53(4): 1006-1015 (2018) - [j138]Pat Pannuto, Benjamin P. Kempke, Li-Xuan Chuo, David T. Blaauw, Prabal Dutta:
Harmonium: Ultra Wideband Pulse Generation with Bandstitched Recovery for Fast, Accurate, and Robust Indoor Localization. ACM Trans. Sens. Networks 14(2): 11:1-11:29 (2018) - [j137]Mohit Shah, Sairam Arunachalam, Jingcheng Wang, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim, Jae-sun Seo, Chaitali Chakrabarti:
A Fixed-Point Neural Network Architecture for Speech Applications on Resource Constrained Hardware. J. Signal Process. Syst. 90(5): 727-741 (2018) - [c358]Junwon Jeong, Seokhyeon Jeong, Chulwoo Kim, Dennis Sylvester, David T. Blaauw:
A 42nJ/conversion on-demand state-of-charge indicator for miniature IoT Li-ion batteries. ASP-DAC 2018: 281-282 - [c357]Minseob Shim, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Junhua Shen, Chulwoo Kim, Dennis Sylvester, David T. Blaauw, Wanyeong Jung:
Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC. ASP-DAC 2018: 295-296 - [c356]Hyeongseok Kim, Nikolaos Chiotellis, Elnaz Ansari, Muhammad Faisal, Tae-Kwang Jang, Anthony Grbic, Hun-Seok Kim, David T. Blaauw, David D. Wentzloff:
A receiver/antenna co-design for a 1.5mJ per fix fully-integrated 10×10×6mm3 GPS logger. CICC 2018: 1-4 - [c355]Subhankar Pal, Jonathan Beaumont, Dong-Hyeon Park, Aporva Amarnath, Siying Feng, Chaitali Chakrabarti, Hun-Seok Kim, David T. Blaauw, Trevor N. Mudge, Ronald G. Dreslinski:
OuterSPACE: An Outer Product Based Sparse Matrix Multiplication Accelerator. HPCA 2018: 724-736 - [c354]Daichi Fujiki, Arun Subramaniyan, Tianjun Zhang, Yu Zeng, Reetuparna Das, David T. Blaauw, Satish Narayanasamy:
GenAx: A Genome Sequencing Accelerator. ISCA 2018: 69-82 - [c353]Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi R. Iyer, Dennis Sylvester, David T. Blaauw, Reetuparna Das:
Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks. ISCA 2018: 383-396 - [c352]Sechang Oh, Yao Shi, Gyouho Kim, Yejoong Kim, Taewook Kang, Seokhyeon Jeong, Dennis Sylvester, David T. Blaauw:
A 2.5nJ duty-cycled bridge-to-digital converter integrated in a 13mm3 pressure-sensing system. ISSCC 2018: 328-330 - [c351]Qing Dong, Zhehong Wang, Jongyup Lim, Yiqun Zhang, Yi-Chun Shih, Yu-Der Chih, Tsung-Yung Jonathan Chang, David T. Blaauw, Dennis Sylvester:
A 1Mb 28nm STT-MRAM with 2.8ns read access time at 1.2V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination. ISSCC 2018: 480-482 - [c350]Mehdi Saligane, Jeongsup Lee, Qing Dong, Makoto Yasuda, Kazuyuki Kumeno, Fumitaka Ohno, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
An Adaptive Body-Biaslna SoC Using in Situ Slack Monitoring for Runtime Replica Calibration. VLSI Circuits 2018: 63-64 - [c349]Supreet Jeloka, Zhehong Wang, Ruochen Xie, Sudhanshu Khanna, Steven Bartling, Dennis Sylvester, David T. Blaauw:
Energy Efficient Adiabatic FRAM with 0.99 PJ/Bit Write for IoT Applications. VLSI Circuits 2018: 85-86 - [c348]Jongyup Lim, Tae-Kwang Jang, Mehdi Saligane, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David T. Blaauw, Dennis Sylvester:
A 224 PW 260 PPM/°C Gate-Leakage-Based Timer for Ultra-Low Power Sensor Nodes with Second-Order Temperature Dependency Cancellation. VLSI Circuits 2018: 117-118 - [c347]Ziyun Li, Jingcheng Wang, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
A1920 × 1080 25FPS, 2.4TOPS/W Unified Optical Flow and Depth 6D Vision Processor for Energy-Efficient, Low Power Autonomous Navigation. VLSI Circuits 2018: 135-136 - [c346]Kaiyuan Yang, Qing Dong, Zhehong Wang, Yi-Chun Shih, Yu-Der Chih, Tsung-Yung Jonathan Chang, David T. Blaauw, Dennis Sylvester:
A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM. VLSI Circuits 2018: 171-172 - [c345]Xiao Wu, Inhee Lee, Qing Dong, Kaiyuan Yang, Dongkwun Kim, Jingcheng Wang, Yimai Peng, Yiqun Zhang, Mehdi Saligane, Makoto Yasuda, Kazuyuki Kumeno, Fumitaka Ohno, Satoru Miyoshi, Masaru Kawaminami, Dennis Sylvester, David T. Blaauw:
A 0.04MM316NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement. VLSI Circuits 2018: 191-192 - [c344]Tae-Kwang Jang, Jongyup Lim, Kyojin David Choo, Samuel Nason, Jeongsup Lee, Jeongsup Oh, Seokhyeon Jeong, Cynthia A. Chestek, Dennis Sylvester, David T. Blaauw:
A 2.2 NEF Neural-Recording Amplifier Using Discrete-Time Parametric Amplification. VLSI Circuits 2018: 237-238 - [c343]Inhee Lee, Gyouho Kim, Eunseong Moon, Seokhyeon Jeong, Dongkwun Kim, Jamie Phillips, David T. Blaauw:
A 179-Lux Energy-Autonomous Fully-Encapsulated 17-mm3 Sensor Node with Initial Charge Delay Circuit for Battery Protection. VLSI Circuits 2018: 251-252 - [i3]Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi R. Iyer, Dennis Sylvester, David T. Blaauw, Reetuparna Das:
Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks. CoRR abs/1805.03718 (2018) - 2017
- [j136]Nathaniel Ross Pinckney, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw, Lucian Shifren, Brian Cline, Saurabh Sinha:
Impact of FinFET on Near-Threshold Voltage Scalability. IEEE Des. Test 34(2): 31-38 (2017) - [j135]Xiao Wu, Yao Shi, Supreet Jeloka, Kaiyuan Yang, Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications. IEEE J. Solid State Circuits 52(4): 972-984 (2017) - [j134]Minseob Shim, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Junhua Shen, Chulwoo Kim, Dennis Sylvester, David T. Blaauw, Wanyeong Jung:
Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator With Application in a 74.1 dB SNDR and 20 kS/s 15 b SAR ADC. IEEE J. Solid State Circuits 52(4): 1077-1090 (2017) - [j133]Inhee Lee, Dennis Sylvester, David T. Blaauw:
A Subthreshold Voltage Reference With Scalable Output Voltage for Low-Power IoT Systems. IEEE J. Solid State Circuits 52(5): 1443-1449 (2017) - [j132]Dongsuk Jeon, Qing Dong, Yejoong Kim, Xiaolong Wang, Shuai Chen, Hao Yu, David T. Blaauw, Dennis Sylvester:
A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS. IEEE J. Solid State Circuits 52(6): 1628-1642 (2017) - [j131]Xiao Wu, Kyojin David Choo, Yao Shi, Li-Xuan Chuo, Dennis Sylvester, David T. Blaauw:
A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery in Small Form-Factor Sensor Systems. IEEE J. Solid State Circuits 52(12): 3155-3167 (2017) - [j130]Kaiyuan Yang, David T. Blaauw, Dennis Sylvester:
Hardware Designs for Security in Ultra-Low-Power IoT Systems: An Overview and Survey. IEEE Micro 37(6): 72-89 (2017) - [j129]Myungjoon Choi, Yuefei Sui, Inhee Lee, Ryan Meredith, Yushu Ma, Gyouho Kim, David T. Blaauw, Yogesh B. Gianchandani, Tao Li:
Autonomous Microsystems for Downhole Applications: Design Challenges, Current State, and Initial Test Results. Sensors 17(10): 2190 (2017) - [j128]Taekwang Jang, Gyouho Kim, Benjamin P. Kempke, Michael B. Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David D. Wentzloff, David T. Blaauw:
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part I - Analog Circuit Techniques. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2237-2249 (2017) - [j127]Tae-Kwang Jang, Gyouho Kim, Benjamin P. Kempke, Michael B. Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David D. Wentzloff, David T. Blaauw:
Circuit and System Designs of Ultra-Low Power Sensor Nodes With Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II - Data Communication, Energy Harvesting, Power Management, and Digital Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2250-2262 (2017) - [j126]Qing Dong, Kaiyuan Yang, Laura Fick, David Fick, David T. Blaauw, Dennis Sylvester:
Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices. IEEE Trans. Very Large Scale Integr. Syst. 25(3): 907-918 (2017) - [c342]Arun Subramaniyan, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das:
Cache Automaton: Repurposing Caches for Automata Processing. PACT 2017: 373 - [c341]Inhee Lee, Dennis Sylvester, David T. Blaauw:
Subthreshold voltage reference with nwell/psub diode leakage compensation for low-power high-temperature systems. A-SSCC 2017: 265-268 - [c340]Laura Fick, David T. Blaauw, Dennis Sylvester, Skylar Skrzyniarz, Malav Parikh, David Fick:
Analog in-memory subthreshold deep neural network accelerator. CICC 2017: 1-4 - [c339]Dongkwun Kim, Wanyeong Jung, Sechang Oh, Kyojin David Choo, Dennis Sylvester, David T. Blaauw:
A start-up boosting circuit with 133× speed gain for 2-transistor voltage reference. CICC 2017: 1-4 - [c338]Wanyeong Jung, Dennis Sylvester, David T. Blaauw:
Low-power switched-capacitor converter design techniques for small IoT systems. ECCTD 2017: 1-4 - [c337]Qing Dong, Inhee Lee, Kaiyuan Yang, David T. Blaauw, Dennis Sylvester:
A 1.02nW PMOS-only, trim-free current reference with 282ppm/°C from -40°C to 120°C and 1.6% within-wafer inaccuracy. ESSCIRC 2017: 19-22 - [c336]Shaizeen Aga, Supreet Jeloka, Arun Subramaniyan, Satish Narayanasamy, David T. Blaauw, Reetuparna Das:
Compute Caches. HPCA 2017: 481-492 - [c335]Yajing Chen, Shengshuo Lu, Cheng Fu, David T. Blaauw, Ronald Dreslinski Jr., Trevor N. Mudge, Hun-Seok Kim:
A Programmable Galois Field Processor for the Internet of Things. ISCA 2017: 55-68 - [c334]Qing Dong, Kaiyuan Yang, Laura Fick, David T. Blaauw, Dennis Sylvester:
Rectified-linear and recurrent neural networks built with spin devices. ISCAS 2017: 1-4 - [c333]Ziyun Li, Qing Dong, Mehdi Saligane, Benjamin P. Kempke, Shijia Yang, Zhengya Zhang, Ronald G. Dreslinski, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
3.7 A 1920×1080 30fps 2.3TOPS/W stereo-depth processor for robust autonomous navigation. ISSCC 2017: 62-63 - [c332]Wootaek Lim, Dennis Sylvester, David T. Blaauw:
4.4 A sub-nW 80mlx-to-1.26Mlx self-referencing light-to-digital converter with AlGaAs photodiode. ISSCC 2017: 72-73 - [c331]Li-Xuan Chuo, Yao Shi, Zhihong Luo, Nikolaos Chiotellis, Zhiyoong Foo, Gyouho Kim, Yejoong Kim, Anthony Grbic, David D. Wentzloff, Hun-Seok Kim, David T. Blaauw:
7.4 A 915MHz asymmetric radio using Q-enhanced amplifier for a fully integrated 3×3×3mm3 wireless sensor node with 20m non-line-of-sight communication. ISSCC 2017: 132-133 - [c330]Kaiyuan Yang, Qing Dong, David T. Blaauw, Dennis Sylvester:
8.3 A 553F2 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability. ISSCC 2017: 146-147 - [c329]Tae-Kwang Jang, Seokhyeon Jeong, Dongsuk Jeon, Kyojin David Choo, Dennis Sylvester, David T. Blaauw:
8.4 A 2.5ps 0.8-to-3.2GHz bang-bang phase- and frequency-detector-based all-digital PLL with noise self-adjustment. ISSCC 2017: 148-149 - [c328]Kaiyuan Yang, Qing Dong, Wanyeong Jung, Yiqun Zhang, Myungjoon Choi, David T. Blaauw, Dennis Sylvester:
9.2 A 0.6nJ -0.22/+0.19°C inaccuracy temperature sensor using exponential subthreshold oscillation dependence. ISSCC 2017: 160-161 - [c327]Qing Dong, Yejoong Kim, Inhee Lee, Myungjoon Choi, Ziyun Li, Jingcheng Wang, Kaiyuan Yang, Yen-Po Chen, Junjie Dong, Minchang Cho, Gyouho Kim, Wei-Keng Chang, Yun-Sheng Chen, Yu-Der Chih, David T. Blaauw, Dennis Sylvester:
11.2 A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes. ISSCC 2017: 198-199 - [c326]Suyoung Bang, Jingcheng Wang, Ziyun Li, Cao Gao, Yejoong Kim, Qing Dong, Yen-Po Chen, Laura Fick, Xun Sun, Ronald G. Dreslinski, Trevor N. Mudge, Hun-Seok Kim, David T. Blaauw, Dennis Sylvester:
14.7 A 288µW programmable deep-learning processor with 270KB on-chip weight storage using non-uniform memory hierarchy for mobile intelligence. ISSCC 2017: 250-251 - [c325]Seokhyeon Jeong, Yu Chen, Tae-Kwang Jang, Julius Ming-Lin Tsai, David T. Blaauw, Hun-Seok Kim, Dennis Sylvester:
21.6 A 12nW always-on acoustic sensing and object recognition microsystem using frequency-domain feature extraction and SVM classification. ISSCC 2017: 362-363 - [c324]Xiao Wu, Kyojin David Choo, Yao Shi, Li-Xuan Chuo, Dennis Sylvester, David T. Blaauw:
22.6 A fully integrated counter-flow energy reservoir for 70%-efficient peak-power delivery in ultra-low-power systems. ISSCC 2017: 380-381 - [c323]Arun Subramaniyan, Jingcheng Wang, Ezhil R. M. Balasubramanian, David T. Blaauw, Dennis Sylvester, Reetuparna Das:
Cache automaton. MICRO 2017: 259-272 - [c322]Li-Xuan Chuo, Zhihong Luo, Dennis Sylvester, David T. Blaauw, Hun-Seok Kim:
RF-Echo: A Non-Line-of-Sight Indoor Localization System Using a Low-Power Active RF Reflector ASIC Tag. MobiCom 2017: 222-234 - 2016
- [j125]Yajing Chen, Nikolaos Chiotellis, Li-Xuan Chuo, Carl Pfeiffer, Yao Shi, Ronald G. Dreslinski, Anthony Grbic, Trevor N. Mudge, David D. Wentzloff, David T. Blaauw, Hun-Seok Kim:
Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes. IEEE J. Sel. Areas Commun. 34(12): 3962-3977 (2016) - [j124]Dongmin Yoon, Tae-Kwang Jang, Dennis Sylvester, David T. Blaauw:
A 5.58 nW Crystal Oscillator Using Pulsed Driver for Real-Time Clocks. IEEE J. Solid State Circuits 51(2): 509-522 (2016) - [j123]Suyoung Bang, David T. Blaauw, Dennis Sylvester:
A Successive-Approximation Switched-Capacitor DC-DC Converter With Resolution of VIN/2N for a Wide Range of Input and Output Voltages. IEEE J. Solid State Circuits 51(2): 543-556 (2016) - [j122]Inhee Lee, Dennis Sylvester, David T. Blaauw:
A Constant Energy-Per-Cycle Ring Oscillator Over a Wide Frequency Range for Wireless Sensor Nodes. IEEE J. Solid State Circuits 51(3): 697-711 (2016) - [j121]Suyoung Bang, Jae-sun Seo, Leland Chang, David T. Blaauw, Dennis Sylvester:
A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering. IEEE J. Solid State Circuits 51(4): 919-929 (2016) - [j120]Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David T. Blaauw:
A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory. IEEE J. Solid State Circuits 51(4): 1009-1021 (2016) - [j119]Kaiyuan Yang, David T. Blaauw, Dennis Sylvester:
An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations. IEEE J. Solid State Circuits 51(4): 1022-1031 (2016) - [j118]Myungjoon Choi, Tae-Kwang Jang, Suyoung Bang, Yao Shi, David T. Blaauw, Dennis Sylvester:
A 110 nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/°C Temperature Stability for System-on-Chip Designs. IEEE J. Solid State Circuits 51(9): 2106-2118 (2016) - [j117]Yao Shi, Myungjoon Choi, Ziyun Li, Zhihong Luo, Gyouho Kim, Zhiyoong Foo, Hun-Seok Kim, David D. Wentzloff, David T. Blaauw:
A 10 mm3 Inductive Coupling Radio for Syringe-Implantable Smart Sensor Nodes. IEEE J. Solid State Circuits 51(11): 2570-2583 (2016) - [j116]Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
Battery Voltage Supervisors for Miniature IoT Systems. IEEE J. Solid State Circuits 51(11): 2743-2756 (2016) - [j115]Myungjoon Choi, Tae-Kwang Jang, Junwon Jeong, Seokhyeon Jeong, David T. Blaauw, Dennis Sylvester:
A Resonant Current-Mode Wireless Power Receiver and Battery Charger With -32 dBm Sensitivity for Implantable Systems. IEEE J. Solid State Circuits 51(12): 2880-2892 (2016) - [j114]Pat Pannuto, Yoonmyung Lee, Ye-Sheng Kuo, Zhiyoong Foo, Benjamin P. Kempke, Gyouho Kim, Ronald G. Dreslinski, David T. Blaauw, Prabal Dutta:
MBus: A System Integration Bus for the Modular Microscale Computing Class. IEEE Micro 36(3): 60-70 (2016) - [j113]Yoonmyung Lee, David T. Blaauw, Dennis Sylvester:
Ultralow Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring. Proc. IEEE 104(8): 1529-1546 (2016) - [j112]Hsing Min Chen, Supreet Jeloka, Akhil Arunkumar, David T. Blaauw, Carole-Jean Wu, Trevor N. Mudge, Chaitali Chakrabarti:
Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems. IEEE Trans. Computers 65(12): 3766-3779 (2016) - [j111]Fabio Frustaci, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
Approximate SRAMs With Dynamic Energy-Quality Management. IEEE Trans. Very Large Scale Integr. Syst. 24(6): 2128-2141 (2016) - [c321]Nathaniel Ross Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability. DAC 2016: 76:1-76:6 - [c320]Nathaniel Ross Pinckney, Dennis Sylvester, David T. Blaauw:
Supply boosting for high-performance processors in flip-chip packages. ESSCIRC 2016: 473-476 - [c319]Yajing Chen, Shengshuo Lu, Hun-Seok Kim, David T. Blaauw, Ronald G. Dreslinski, Trevor N. Mudge:
A low power software-defined-radio baseband processor for the Internet of Things. HPCA 2016: 40-51 - [c318]Jiang Xiang, Ziyun Li, David T. Blaauw, Hun-Seok Kim, Chaitali Chakrabarti:
Low complexity optical flow using neighbor-guided semi-global matching. ICIP 2016: 4483-4487 - [c317]Tae-Kwang Jang, Myungjoon Choi, Seokhyeon Jeong, Suyoung Bang, Dennis Sylvester, David T. Blaauw:
5.8 A 4.7nW 13.8ppm/°C self-biased wakeup timer using a switched-resistor scheme. ISSCC 2016: 102-103 - [c316]Wanyeong Jung, Junhua Gu, Paul D. Myers, Minseob Shim, Seokhyeon Jeong, Kaiyuan Yang, Myungjoon Choi, Zhiyoong Foo, Suyoung Bang, Sechang Oh, Dennis Sylvester, David T. Blaauw:
8.5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems. ISSCC 2016: 154-155 - [c315]Yiqun Zhang, Mahmood Khayatzadeh, Kaiyuan Yang, Mehdi Saligane, Nathaniel Ross Pinckney, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor. ISSCC 2016: 160-162 - [c314]Wanyeong Jung, Dennis Sylvester, David T. Blaauw:
12.1 A rational-conversion-ratio switched-capacitor DC-DC converter using negative-output feedback. ISSCC 2016: 218-219 - [c313]Mahmood Khayatzadeh, Mehdi Saligane, Jingcheng Wang, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
17.3 A reconfigurable dual-port memory with error detection and correction in 28nm FDSOI. ISSCC 2016: 310-312 - [c312]Inhee Lee, Wootaek Lim, Alan Teran, Jamie Phillips, Dennis Sylvester, David T. Blaauw:
21.4 A >78%-efficient light harvester over 100-to-100klux with reconfigurable PV-cell network and MPPT circuit. ISSCC 2016: 370-371 - [c311]Myungjoon Choi, Tae-Kwang Jang, Junwon Jeong, Seokhyeon Jeong, David T. Blaauw, Dennis Sylvester:
21.5 A current-mode wireless power receiver with optimal resonant cycle tracking for implantable systems. ISSCC 2016: 372-373 - [c310]Skylar Skrzyniarz, Laura Fick, Jinal Shah, Yejoong Kim, Dennis Sylvester, David T. Blaauw, David Fick, Michael B. Henry:
24.3 A 36.8 2b-TOPS/W self-calibrating GPS accelerator implemented using analog calculation in 65nm LP CMOS. ISSCC 2016: 420-422 - [c309]Yao Shi, Myungjoon Choi, Ziyun Li, Gyouho Kim, Zhiyoong Foo, Hun-Seok Kim, David D. Wentzloff, David T. Blaauw:
26.7 A 10mm3 syringe-implantable near-field radio system on glass substrate. ISSCC 2016: 448-449 - [c308]Tae-Kwang Jang, Myungjoon Choi, Yao Shi, Inhee Lee, Dennis Sylvester, David T. Blaauw:
Millimeter-scale computing platform for next generation of Internet of Things. IEEE RFID 2016: 1-4 - [c307]Yu Chen, Minchang Cho, Seokhyeon Jeong, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim:
A Dual-Stage, Ultra-Low-Power Acoustic Event Detection System. SiPS 2016: 213-218 - [c306]Yiqun Zhang, Kaiyuan Yang, Mehdi Saligane, David T. Blaauw, Dennis Sylvester:
A compact 446 Gbps/W AES accelerator for mobile SoC and IoT in 40nm. VLSI Circuits 2016: 1-2 - [c305]Qing Dong, Kaiyuan Yang, David T. Blaauw, Dennis Sylvester:
A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems. VLSI Circuits 2016: 1-2 - [c304]Wootaek Lim, Tae-Kwang Jang, Inhee Lee, Hun-Seok Kim, Dennis Sylvester, David T. Blaauw:
A 380pW dual mode optical wake-up receiver with ambient noise cancellation. VLSI Circuits 2016: 1-2 - [c303]Sechang Oh, Ngoc Le Ba, Suyoung Bang, Junwon Jeong, David T. Blaauw, Tony T. Kim, Dennis Sylvester:
A 260µW infrared gesture recognition system-on-chip for smart devices. VLSI Circuits 2016: 1-2 - [c302]Minseob Shim, Seokhyeon Jeong, Paul D. Myers, Suyoung Bang, Chulwoo Kim, Dennis Sylvester, David T. Blaauw, Wanyeong Jung:
An oscillator collapse-based comparator with application in a 74.1dB SNDR, 20KS/s 15b SAR ADC. VLSI Circuits 2016: 1-2 - [c301]Xiao Wu, Yao Shi, Supreet Jeloka, Kaiyuan Yang, Inhee Lee, Dennis Sylvester, David T. Blaauw:
A 66pW discontinuous switch-capacitor energy harvester for self-sustaining sensor applications. VLSI Circuits 2016: 1-2 - 2015
- [j110]Yen-Po Chen, Dongsuk Jeon, Yoonmyung Lee, Yejoong Kim, Zhiyoong Foo, Inhee Lee, Nicholas B. Langhals, Grant H. Kruger, Hakan Oral, Omer Berenfeld, Zhengya Zhang, David T. Blaauw, Dennis Sylvester:
An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring. IEEE J. Solid State Circuits 50(1): 375-390 (2015) - [j109]Dong-Woo Jee, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
Digitally Controlled Leakage-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform. IEEE J. Solid State Circuits 50(5): 1263-1274 (2015) - [j108]Fabio Frustaci, Mahmood Khayatzadeh, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
SRAM for Error-Tolerant Applications With Dynamic Energy-Quality Management in 28 nm CMOS. IEEE J. Solid State Circuits 50(5): 1310-1323 (2015) - [j107]Sechang Oh, Yoonmyung Lee, Jingcheng Wang, Zhiyoong Foo, Yejoong Kim, Wanyeong Jung, Ziyun Li, David T. Blaauw, Dennis Sylvester:
A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System. IEEE J. Solid State Circuits 50(7): 1581-1591 (2015) - [j106]Seokhyeon Jeong, Inhee Lee, David T. Blaauw, Dennis Sylvester:
A 5.8 nW CMOS Wake-Up Timer for Ultra-Low-Power Wireless Applications. IEEE J. Solid State Circuits 50(8): 1754-1763 (2015) - [j105]Inhee Lee, Gyouho Kim, Suyoung Bang, Adriane Wolfe, Richard Bell, Seokhyeon Jeong, Yejoong Kim, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David T. Blaauw, Yoonmyung Lee:
System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells. IEEE Trans. Circuits Syst. I Regul. Pap. 62-I(4): 1126-1135 (2015) - [c300]Jingcheng Wang, Nathaniel Ross Pinckney, David T. Blaauw, Dennis Sylvester:
Reconfigurable self-timed regenerators for wide-range voltage scaled interconnect. A-SSCC 2015: 1-4 - [c299]Inhee Lee, Wanyeong Jung, Hyunsoo Ha, Seokhyeon Jeong, Yejoong Kim, Gyouho Kim, Zhiyoong Foo, Jae-Yoon Sim, Dennis Sylvester, David T. Blaauw:
An ultra-low-power biomedical chip for injectable pressure monitor. BioCAS 2015: 1-4 - [c298]Mehdi Saligane, Mahmood Khayatzadeh, Yiqun Zhang, Seokhyeon Jeong, David T. Blaauw, Dennis Sylvester:
All-digital SoC thermal sensor using on-chip high order temperature curvature correction. CICC 2015: 1-4 - [c297]Tae-Kwang Jang, Seokhyeon Jeong, Myungjoon Choi, Wanyeong Jung, Gyouho Kim, Yen-Po Chen, Yejoong Kim, Wootaek Lim, Dennis Sylvester, David T. Blaauw:
FOCUS: Key building blocks and integration strategy of a miniaturized wireless sensor node. ESSCIRC 2015: 257-262 - [c296]Pat Pannuto, Yoonmyung Lee, Ye-Sheng Kuo, Zhiyoong Foo, Benjamin P. Kempke, Gyouho Kim, Ronald G. Dreslinski, David T. Blaauw, Prabal Dutta:
MBus: an ultra-low power interconnect bus for next generation nanopower systems. ISCA 2015: 629-641 - [c295]Qing Dong, Kaiyuan Yang, Laura Fick, David Fick, David T. Blaauw, Dennis Sylvester:
Racetrack converter: A low power and compact data converter using racetrack spintronic devices. ISCAS 2015: 585-588 - [c294]Wanyeong Jung, Seokhyeon Jeong, Sechang Oh, Dennis Sylvester, David T. Blaauw:
27.6 A 0.7pF-to-10nF fully digital capacitance-to-digital converter using iterative delay-chain discharge. ISSCC 2015: 1-3 - [c293]Wootaek Lim, Inhee Lee, Dennis Sylvester, David T. Blaauw:
8.2 Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic. ISSCC 2015: 1-3 - [c292]Kaiyuan Yang, Qing Dong, David T. Blaauw, Dennis Sylvester:
14.2 A physically unclonable function with BER-8 for robust chip authentication using oscillator collapse in 40nm CMOS. ISSCC 2015: 1-3 - [c291]Fabio Frustaci, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
Better-than-voltage scaling energy reduction in approximate SRAMs via bit dropping and bit reuse. PATMOS 2015: 132-139 - [c290]Mohit Shah, Jingcheng Wang, David T. Blaauw, Dennis Sylvester, Hun-Seok Kim, Chaitali Chakrabarti:
A fixed-point neural network for keyword detection on resource constrained hardware. SiPS 2015: 1-6 - [c289]Dongsuk Jeon, Qing Dong, Yejoong Kim, Xiaolong Wang, Shuai Chen, Hao Yu, David T. Blaauw, Dennis Sylvester:
A 23mW face recognition accelerator in 40nm CMOS with mostly-read 5T memory. VLSIC 2015: 48- - [c288]Seokhyeon Jeong, Wanyeong Jung, Dongsuk Jeon, Omer Berenfeld, Hakan Oral, Grant H. Kruger, David T. Blaauw, Dennis Sylvester:
A 120nW 8b sub-ranging SAR ADC with signal-dependent charge recycling for biomedical applications. VLSIC 2015: 60- - [c287]Hyeongseok Kim, Gyouho Kim, Yoonmyung Lee, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw, David D. Wentzloff:
A 10.6mm3 fully-integrated, wireless sensor node with 8GHz UWB transmitter. VLSIC 2015: 202- - [c286]Y.-S. Shu, Naveen Verma, K. Yano, T. Someya, H.-J. Yoo, Karthik Vasanth, David T. Blaauw, L. Krishnamurthy, S. J. Kim:
Circuits evening panel discussion 2: Wearable electronics: Still an oasis or just a mirage for the semiconductor industry? VLSIC 2015: 222- - [c285]Myungjoon Choi, Suyoung Bang, Tae-Kwang Jang, David T. Blaauw, Dennis Sylvester:
A 99nW 70.4kHz resistive frequency locking on-chip oscillator with 27.4ppm/ºC temperature stability. VLSIC 2015: 238- - [c284]Kaiyuan Yang, David T. Blaauw, Dennis Sylvester:
A robust -40 to 120°C all-digital true random number generator in 40nm CMOS. VLSIC 2015: 248- - [c283]Mahmood Khayatzadeh, Fabio Frustaci, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
A reconfigurable sense amplifier with 3X offset reduction in 28nm FDSOI CMOS. VLSIC 2015: 270- - [c282]Supreet Jeloka, Naveen Akesh, Dennis Sylvester, David T. Blaauw:
A configurable TCAM/BCAM/SRAM using 28nm push-rule 6T bit cell. VLSIC 2015: 272- - [c281]Myungjoon Choi, Junhua Gu, David T. Blaauw, Dennis Sylvester:
Wide input range 1.7μW 1.2kS/s resistive sensor interface circuit with 1 cycle/sample logarithmic sub-ranging. VLSIC 2015: 330- - [c280]Suyoung Bang, Jae-sun Seo, Inhee Lee, Seokhyeon Jeong, Nathaniel Ross Pinckney, David T. Blaauw, Dennis Sylvester, Leland Chang:
A fully-integrated 40-phase flying-capacitance-dithered switched-capacitor voltage regulator with 6mV output ripple. VLSIC 2015: 336- - 2014
- [j104]Edward A. Lee, Björn Hartmann, John Kubiatowicz, Tajana Simunic Rosing, John Wawrzynek, David Wessel, Jan M. Rabaey, Kris Pister, Alberto L. Sangiovanni-Vincentelli, Sanjit A. Seshia, David T. Blaauw, Prabal Dutta, Kevin Fu, Carlos Guestrin, Ben Taskar, Roozbeh Jafari, Douglas L. Jones, Vijay Kumar, Rahul Mangharam, George J. Pappas, Richard M. Murray, Anthony Rowe:
The Swarm at the Edge of the Cloud. IEEE Des. Test 31(3): 8-20 (2014) - [j103]Youn Sung Park, David T. Blaauw, Dennis Sylvester, Zhengya Zhang:
Low-Power High-Throughput LDPC Decoder Using Non-Refresh Embedded DRAM. IEEE J. Solid State Circuits 49(3): 783-794 (2014) - [j102]Dongsuk Jeon, Michael B. Henry, Yejoong Kim, Inhee Lee, Zhengya Zhang, David T. Blaauw, Dennis Sylvester:
An Energy Efficient Full-Frame Feature Extraction Accelerator With Shift-Latch FIFO in 28 nm CMOS. IEEE J. Solid State Circuits 49(5): 1271-1284 (2014) - [j101]Seokhyeon Jeong, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, David T. Blaauw, Dennis Sylvester:
A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes. IEEE J. Solid State Circuits 49(8): 1682-1693 (2014) - [j100]Laura Fick, David Fick, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
A 346 µm 2 VCO-Based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28 nm CMOS. IEEE J. Solid State Circuits 49(11): 2462-2473 (2014) - [j99]Wanyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee, Zhiyoong Foo, Gyouho Kim, Yiqun Zhang, Dennis Sylvester, David T. Blaauw:
An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler. IEEE J. Solid State Circuits 49(12): 2800-2811 (2014) - [j98]Chia-Hsiang Chen, David T. Blaauw, Dennis Sylvester, Zhengya Zhang:
Design and Evaluation of Confidence-Driven Error-Resilient Systems. IEEE Trans. Very Large Scale Integr. Syst. 22(8): 1727-1737 (2014) - [c279]David Fick, Gyouho Kim, Allan Wang, David T. Blaauw, Dennis Sylvester:
Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filtering. CICC 2014: 1-4 - [c278]Mohammad Hassan Ghaed, Skylar Skrzyniarz, David T. Blaauw, Dennis Sylvester:
A 1.6nJ/bit, 19.9μA peak current fully integrated 2.5mm2 inductive transceiver for volume-constrained microsystems. CICC 2014: 1-4 - [c277]Seokhyeon Jeong, Inhee Lee, David T. Blaauw, Dennis Sylvester:
A 5.8nW, 45ppm/°C on-chip CMOS wake-up timer using a constant charge subtraction scheme. CICC 2014: 1-4 - [c276]Ye-Sheng Kuo, Pat Pannuto, Gyouho Kim, Zhiyoong Foo, Inhee Lee, Benjamin P. Kempke, Prabal Dutta, David T. Blaauw, Yoonmyung Lee:
MBus: A 17.5 pJ/bit/chip portable interconnect bus for millimeter-scale sensor systems with 8 nW standby power. CICC 2014: 1-4 - [c275]Inhee Lee, Yejoong Kim, Suyoung Bang, Gyouho Kim, Hyunsoo Ha, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, Dennis Sylvester, David T. Blaauw:
Circuit techniques for miniaturized biomedical sensors. CICC 2014: 1-7 - [c274]Supriya Rao, Supreet Jeloka, Reetuparna Das, David T. Blaauw, Ronald G. Dreslinski, Trevor N. Mudge:
VIX: Virtual Input Crossbar for Efficient Switch Allocation. DAC 2014: 103:1-103:6 - [c273]Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David T. Blaauw, Ronald G. Dreslinski, Reetuparna Das, Trevor N. Mudge:
Quality-of-Service for a High-Radix Switch. DAC 2014: 163:1-163:6 - [c272]Myungjoon Choi, Inhee Lee, Tae-Kwang Jang, David T. Blaauw, Dennis Sylvester:
A 23pW, 780ppm/°C resistor-less current reference using subthreshold MOSFETs. ESSCIRC 2014: 119-122 - [c271]Sechang Oh, Yoonmyung Lee, Jingcheng Wang, Zhiyoong Foo, Yejoong Kim, David T. Blaauw, Dennis Sylvester:
Dual-slope capacitance to digital converter integrated in an implantable pressure sensing system. ESSCIRC 2014: 295-298 - [c270]Gyouho Kim, Adriane Wolfe, Richard Bell, Suyoung Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Lewis Hsu, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David T. Blaauw:
Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells. ISCAS 2014: 1985-1988 - [c269]Ihab Nahlus, Eric P. Kim, Naresh R. Shanbhag, David T. Blaauw:
Energy-efficient dot product computation using a switched analog circuit architecture. ISLPED 2014: 315-318 - [c268]Hyunsoo Ha, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
12.6 A 160nW 63.9fJ/conversion-step capacitance-to-digital converter for ultra-low-power wireless sensor nodes. ISSCC 2014: 220-221 - [c267]Bharan Giridhar, Nathaniel Ross Pinckney, Dennis Sylvester, David T. Blaauw:
13.7 A reconfigurable sense amplifier with auto-zero calibration and pre-amplification in 28nm CMOS. ISSCC 2014: 242-243 - [c266]Fabio Frustaci, Mahmood Khayatzadeh, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
13.8 A 32kb SRAM for error-free and error-tolerant applications with dynamic energy-quality management in 28nm CMOS. ISSCC 2014: 244-245 - [c265]Kaiyuan Yang, David Fick, Michael B. Henry, Yoonmyung Lee, David T. Blaauw, Dennis Sylvester:
16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS. ISSCC 2014: 280-281 - [c264]Wanyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
23.3 A 3nW fully integrated energy harvester based on self-oscillating switched-capacitor DC-DC converter. ISSCC 2014: 398-399 - [c263]Dongsuk Jeon, Yen-Po Chen, Yoonmyung Lee, Yejoong Kim, Zhiyoong Foo, Grant H. Kruger, Hakan Oral, Omer Berenfeld, Zhengya Zhang, David T. Blaauw, Dennis Sylvester:
24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis. ISSCC 2014: 416-417 - [c262]Yejoong Kim, Wanyeong Jung, Inhee Lee, Qing Dong, Michael B. Henry, Dennis Sylvester, David T. Blaauw:
27.8 A static contention-free single-phase-clocked 24T flip-flop in 45nm for low-power applications. ISSCC 2014: 466-467 - [c261]Supreet Jeloka, Reetuparna Das, Ronald G. Dreslinski, Trevor N. Mudge, David T. Blaauw:
Hi-Rise: A High-Radix Switch for 3D Integration with Single-Cycle Arbitration. MICRO 2014: 471-483 - [c260]Yen-Po Chen, David T. Blaauw, Dennis Sylvester:
A 266nW multi-chopper amplifier with 1.38 noise efficiency factor for neural signal recording. VLSIC 2014: 1-2 - [c259]Gyouho Kim, Yoonmyung Lee, Zhiyoong Foo, Pat Pannuto, Ye-Sheng Kuo, Benjamin P. Kempke, Mohammad Hassan Ghaed, Suyoung Bang, Inhee Lee, Yejoong Kim, Seokhyeon Jeong, Prabal Dutta, Dennis Sylvester, David T. Blaauw:
A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting. VLSIC 2014: 1-2 - [c258]Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
Low power battery supervisory circuit with adaptive battery health monitor. VLSIC 2014: 1-2 - [c257]Sechang Oh, Wanyeong Jung, Kaiyuan Yang, David T. Blaauw, Dennis Sylvester:
15.4b incremental sigma-delta capacitance-to-digital converter with zoom-in 9b asynchronous SAR. VLSIC 2014: 1-2 - 2013
- [j97]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Centip3De: a many-core prototype exploring 3D integration and near-threshold computing. Commun. ACM 56(11): 97-104 (2013) - [j96]Yoonmyung Lee, Dongmin Yoon, Yejoong Kim, David T. Blaauw, Dennis Sylvester:
Circuit and System Design Guidelines for Ultra-low Power Sensor Nodes. IPSJ Trans. Syst. LSI Des. Methodol. 6: 17-26 (2013) - [j95]Matthew Fojtik, David Fick, Yejoong Kim, Nathaniel Ross Pinckney, David Money Harris, David T. Blaauw, Dennis Sylvester:
Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction. IEEE J. Solid State Circuits 48(1): 66-81 (2013) - [j94]David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester:
Centip3De: A Cluster-Based NTC Architecture With 64 ARM Cortex-M3 Cores in 3D Stacked 130 nm CMOS. IEEE J. Solid State Circuits 48(1): 104-117 (2013) - [j93]Yoonmyung Lee, Suyoung Bang, Inhee Lee, Yejoong Kim, Gyouho Kim, Mohammad Hassan Ghaed, Pat Pannuto, Prabal Dutta, Dennis Sylvester, David T. Blaauw:
A Modular 1 mm3 Die-Stacked Sensing Platform With Low Power I2C Inter-Die Communication and Multi-Modal Energy Harvesting. IEEE J. Solid State Circuits 48(1): 229-243 (2013) - [j92]Matthew Fojtik, Daeyeon Kim, Gregory K. Chen, Yu-Shiang Lin, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, David T. Blaauw, Dennis Sylvester:
A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells. IEEE J. Solid State Circuits 48(3): 801-813 (2013) - [j91]Yoonmyung Lee, Bharan Giridhar, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes. IEEE J. Solid State Circuits 48(10): 2511-2521 (2013) - [j90]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Centip3De: A 64-Core, 3D Stacked Near-Threshold System. IEEE Micro 33(2): 8-16 (2013) - [j89]Nathaniel Ross Pinckney, Ronald G. Dreslinski, Korey Sewell, David Fick, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Limits of Parallelism and Boosting in Dim Silicon. IEEE Micro 33(5): 30-37 (2013) - [j88]Cheng Zhuo, Dennis Sylvester, David T. Blaauw:
A Statistical Framework for Post-Fabrication Oxide Breakdown Reliability Prediction and Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(4): 630-643 (2013) - [j87]Zhiyoong Foo, David Devescery, Mohammad Hassan Ghaed, Inhee Lee, Abishek Madhavan, Youn Sung Park, Aswin S. Rao, Zach Renner, Nathan Roberts, Aaron Schulman, Vikas Vinay, Michael Wieckowski, Dongmin Yoon, Cliff Schmidt, Thomas Schmid, Prabal Dutta, Peter M. Chen, David T. Blaauw:
A Low-Cost Audio Computer for Information Dissemination Among Illiterate People Groups. IEEE Trans. Circuits Syst. I Regul. Pap. 60-I(8): 2039-2050 (2013) - [j86]Yoonmyung Lee, Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw:
Achieving Ultralow Standby Power With an Efficient SCCMOS Bias Generator. IEEE Trans. Circuits Syst. II Express Briefs 60-II(12): 842-846 (2013) - [j85]Mohammad Hassan Ghaed, Gregory K. Chen, Razi-Ul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, Yoonmyung Lee, Inhee Lee, David Fick, Daeyeon Kim, Mingoo Seok, Kensall D. Wise, David T. Blaauw, Dennis Sylvester:
Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor. IEEE Trans. Circuits Syst. I Regul. Pap. 60-I(12): 3152-3162 (2013) - [j84]Yoonmyung Lee, Daeyeon Kim, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, David T. Blaauw, Dennis Sylvester:
Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs). IEEE Trans. Very Large Scale Integr. Syst. 21(9): 1632-1643 (2013) - [c256]Yen-Po Chen, Yoonmyung Lee, Jae-Yoon Sim, Massimo Alioto, David T. Blaauw, Dennis Sylvester:
45pW ESD clamp circuit for ultra-low power applications. CICC 2013: 1-4 - [c255]Bharan Giridhar, Matthew Fojtik, David Fick, Dennis Sylvester, David T. Blaauw:
Pulse amplification based dynamic synchronizers with metastability measurement using capacitance de-rating. CICC 2013: 1-4 - [c254]Seokhyeon Jeong, Jae-Yoon Sim, David T. Blaauw, Dennis Sylvester:
65nW CMOS temperature sensor for ultra-low power microsystems. CICC 2013: 1-4 - [c253]Nilmini Abeyratne, Reetuparna Das, Qingkun Li, Korey Sewell, Bharan Giridhar, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge:
Scaling towards kilo-core processors with asymmetric high-radix topologies. HPCA 2013: 496-507 - [c252]Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David T. Blaauw, Dennis Sylvester:
A low-power VGA full-frame feature extraction processor. ICASSP 2013: 2726-2730 - [c251]Suyoung Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
A fully integrated switched-capacitor based PMU with adaptive energy harvesting technique for ultra-low power sensing applications. ISCAS 2013: 709-712 - [c250]Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David T. Blaauw, Dennis Sylvester:
A 470mV 2.7mW feature extraction-accelerator for micro-autonomous vehicle navigation in 28nm CMOS. ISSCC 2013: 166-167 - [c249]Dong-Woo Jee, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
A 0.45V 423nW 3.2MHz multiplying DLL with leakage-based oscillator for ultra-low-power sensor platforms. ISSCC 2013: 188-189 - [c248]Seon-Kyoo Lee, Seung-Hun Lee, Dennis Sylvester, David T. Blaauw, Jae-Yoon Sim:
A 95fJ/b current-mode transceiver for 10mm on-chip interconnect. ISSCC 2013: 262-263 - [c247]Suyoung Bang, Allan Wang, Bharan Giridhar, David T. Blaauw, Dennis Sylvester:
A fully integrated successive-approximation switched-capacitor DC-DC converter with 31mV output voltage resolution. ISSCC 2013: 370-371 - [c246]Gyouho Kim, Mahmood Barangi, Zhiyoong Foo, Nathaniel Ross Pinckney, Suyoung Bang, David T. Blaauw, Dennis Sylvester:
A 467nW CMOS visual motion sensor with temporal averaging and pixel aggregation. ISSCC 2013: 480-481 - [c245]Bharan Giridhar, Michael Cieslak, Deepankar Duggal, Ronald G. Dreslinski, Hsing Min Chen, Robert Patti, Betina Hold, Chaitali Chakrabarti, Trevor N. Mudge, David T. Blaauw:
Exploring DRAM organizations for energy-efficient and resilient exascale memories. SC 2013: 23:1-23:12 - [c244]Pat Pannuto, Yoonmyung Lee, Zhiyoong Foo, David T. Blaauw, Prabal Dutta:
M3: a mm-scale wireless energy harvesting sensor platform. ENSSys@SenSys 2013: 17:1-17:2 - 2012
- [j83]Korey Sewell, Ronald G. Dreslinski, Thomas Manville, Sudhir Satpathy, Nathaniel Ross Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das, Thomas F. Wenisch, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Swizzle-Switch Networks for Many-Core Systems. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(2): 278-294 (2012) - [j82]Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
A Super-Pipelined Energy Efficient Subthreshold 240 MS/s FFT Core in 65 nm CMOS. IEEE J. Solid State Circuits 47(1): 23-34 (2012) - [j81]Mingoo Seok, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5 V. IEEE J. Solid State Circuits 47(10): 2534-2545 (2012) - [j80]Andrew DeOrio, David Fick, Valeria Bertacco, Dennis Sylvester, David T. Blaauw, Jin Hu, Gregory K. Chen:
A Reliable Routing Architecture and Algorithm for NoCs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(5): 726-739 (2012) - [j79]Dongsuk Jeon, Mingoo Seok, Zhengya Zhang, David T. Blaauw, Dennis Sylvester:
Design Methodology for Voltage-Overscaled Ultra-Low-Power Systems. IEEE Trans. Circuits Syst. II Express Briefs 59-II(12): 952-956 (2012) - [j78]Mingoo Seok, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Sleep Mode Analysis and Optimization With Minimal-Sized Power Gating Switch for Ultra-Low ${V}_{\rm dd}$ Operation. IEEE Trans. Very Large Scale Integr. Syst. 20(4): 605-615 (2012) - [j77]Prashant Singh, Eric Karl, David T. Blaauw, Dennis Sylvester:
Compact Degradation Sensors for Monitoring NBTI and Oxide Degradation. IEEE Trans. Very Large Scale Integr. Syst. 20(9): 1645-1655 (2012) - [c243]Ronald G. Dreslinski, Thomas Manville, Korey Sewell, Reetuparna Das, Nathaniel Ross Pinckney, Sudhir Satpathy, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
XPoint cache: scaling existing bus-based coherence protocols for 2D and 3D many-core systems. PACT 2012: 75-86 - [c242]Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
Circuits for ultra-low power millimeter-scale sensor nodes. ACSCC 2012: 752-756 - [c241]Suyoung Bang, David T. Blaauw, Dennis Sylvester, Massimo Alioto:
Reconfigurable sleep transistor for GIDL reduction in ultra-low standby power systems. CICC 2012: 1-4 - [c240]Zhiyoong Foo, David Devescery, Mohammad Hassan Ghaed, Inhee Lee, Abishek Madhavan, Youn Sung Park, Aswin S. Rao, Zach Renner, Nathan Roberts, Aaron Schulman, Vikas Vinay, Michael Wieckowski, Dongmin Yoon, Cliff Schmidt, Thomas Schmid, Prabal Dutta, Peter M. Chen, David T. Blaauw:
A low-cost audio computer for information dissemination among illiterate people groups. CICC 2012: 1-4 - [c239]Gyouho Kim, Yoonmyung Lee, Suyoung Bang, Inhee Lee, Yejoong Kim, Dennis Sylvester, David T. Blaauw:
A 695 pW standby power optical wake-up receiver for wireless sensor nodes. CICC 2012: 1-4 - [c238]Sudhir Satpathy, Reetuparna Das, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service. DAC 2012: 406-411 - [c237]Sangwon Seo, Ronald G. Dreslinski, Mark Woh, Yongjun Park, Chaitali Chakrabarti, Scott A. Mahlke, David T. Blaauw, Trevor N. Mudge:
Process variation in near-threshold wide SIMD architectures. DAC 2012: 980-987 - [c236]Yoonmyung Lee, Yejoong Kim, Dongmin Yoon, David T. Blaauw, Dennis Sylvester:
Circuit and system design guidelines for ultra-low power sensor nodes. DAC 2012: 1037-1042 - [c235]Nathaniel Ross Pinckney, Korey Sewell, Ronald G. Dreslinski, David Fick, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Assessing the performance limits of parallelized near-threshold computing. DAC 2012: 1147-1152 - [c234]Yejoong Kim, Yoonmyung Lee, Dennis Sylvester, David T. Blaauw:
SLC: Split-control Level Converter for dense and stable wide-range voltage conversion. ESSCIRC 2012: 478-481 - [c233]Ronald G. Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Centip3De: A 64-core, 3D stacked, near-threshold system. Hot Chips Symposium 2012: 1-30 - [c232]Ronald G. Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Ross Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das, Thomas F. Wenisch, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Swizzle Switch: A self-arbitrating high-radix crossbar for NoC systems. Hot Chips Symposium 2012: 1-44 - [c231]Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
Extending energy-saving voltage scaling in ultra low voltage integrated circuit designs. ICICDT 2012: 1-4 - [c230]Pat Pannuto, Yoonmyung Lee, Benjamin P. Kempke, Dennis Sylvester, David T. Blaauw, Prabal Dutta:
Ultra-constrained sensor platform interfacing. IPSN 2012: 147-148 - [c229]Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester:
An adaptive write word-line pulse width and voltage modulation architecture for bit-interleaved 8T SRAMs. ISLPED 2012: 91-96 - [c228]David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory K. Chen, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw:
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores. ISSCC 2012: 190-192 - [c227]Dongmin Yoon, Dennis Sylvester, David T. Blaauw:
A 5.58nW 32.768kHz DLL-assisted XO for real-time clocks in wireless sensing applications. ISSCC 2012: 366-368 - [c226]Yoonmyung Lee, Gyouho Kim, Suyoung Bang, Yejoong Kim, Inhee Lee, Prabal Dutta, Dennis Sylvester, David T. Blaauw:
A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting. ISSCC 2012: 402-404 - [c225]Sudhir Satpathy, Korey Sewell, Thomas Manville, Yen-Po Chen, Ronald G. Dreslinski, Dennis Sylvester, Trevor N. Mudge, David T. Blaauw:
A 4.5Tb/s 3.4Tb/s/W 64×64 switch fabric with self-updating least-recently-granted priority and quality-of-service arbitration in 45nm CMOS. ISSCC 2012: 478-480 - [c224]Matthew Fojtik, David Fick, Yejoong Kim, Nathaniel Ross Pinckney, David Money Harris, David T. Blaauw, Dennis Sylvester:
Bubble Razor: An architecture-independent approach to timing-error detection and correction. ISSCC 2012: 488-490 - [c223]Hassan Ghaed, Gregory K. Chen, David T. Blaauw, Dennis Sylvester:
Analysis and measurement of the stability of dual-resonator oscillators. RWS 2012: 219-222 - [c222]Youn Sung Park, David T. Blaauw, Dennis Sylvester, Zhengya Zhang:
A 1.6-mm2 38-mW 1.5-Gb/s LDPC decoder enabled by refresh-free embedded DRAM. VLSIC 2012: 114-115 - [c221]Sudhir Satpathy, Dennis Sylvester, David T. Blaauw:
A standard cell compatible bidirectional repeater with thyristor assist. VLSIC 2012: 174-175 - [c220]Yen-Po Chen, Matthew Fojtik, David T. Blaauw, Dennis Sylvester:
A 2.98nW bandgap voltage reference using a self-tuning low leakage sample and hold. VLSIC 2012: 200-201 - [c219]Inhee Lee, Suyoung Bang, Yoonmyung Lee, Yejoong Kim, Gyouho Kim, Dennis Sylvester, David T. Blaauw:
A 635pW battery voltage supervisory circuit for miniature sensor nodes. VLSIC 2012: 202-203 - 2011
- [j76]Mingoo Seok, Gregory K. Chen, Scott Hanson, Michael Wieckowski, David T. Blaauw, Dennis Sylvester:
CAS-FEST 2010: Mitigating Variability in Near-Threshold Computing. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(1): 42-49 (2011) - [j75]Mingoo Seok, David T. Blaauw, Dennis Sylvester:
Robust Clock Network Design Methodology for Ultra-Low Voltage Operations. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(2): 120-130 (2011) - [j74]David M. Bull, Shidhartha Das, Karthik Shivashankar, Ganesh S. Dasika, Krisztián Flautner, David T. Blaauw:
A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation. IEEE J. Solid State Circuits 46(1): 18-31 (2011) - [j73]David M. Bull, Shidhartha Das, Karthik Shivashankar, Ganesh S. Dasika, Krisztián Flautner, David T. Blaauw:
Correction to "A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation". IEEE J. Solid State Circuits 46(3): 705 (2011) - [j72]Jae-sun Seo, David T. Blaauw, Dennis Sylvester:
Crosstalk-Aware PWM-Based On-Chip Links With Self-Calibration in 65 nm CMOS. IEEE J. Solid State Circuits 46(9): 2041-2052 (2011) - [j71]Vineeth Veetil, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
Fast Statistical Static Timing Analysis Using Smart Monte Carlo Techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(6): 852-865 (2011) - [j70]Cheng Zhuo, Kaviraj Chopra, Dennis Sylvester, David T. Blaauw:
Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(9): 1321-1334 (2011) - [j69]Prashant Singh, Eric Karl, Dennis Sylvester, David T. Blaauw:
Dynamic NBTI Management Using a 45 nm Multi-Degradation Sensor. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(9): 2026-2037 (2011) - [j68]Jae-sun Seo, Himanshu Kaul, Ram Krishnamurthy, Dennis Sylvester, David T. Blaauw:
A Robust Edge Encoding Technique for Energy-Efficient Multi-Cycle Interconnect. IEEE Trans. Very Large Scale Integr. Syst. 19(2): 264-273 (2011) - [c218]Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design. DAC 2011: 990-995 - [c217]Mark Woh, Sudhir Satpathy, Ronald G. Dreslinski, Danny Kershaw, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Low power interconnects for SIMD computers. DATE 2011: 600-605 - [c216]Chia-Hsiang Chen, Yejoong Kim, Zhengya Zhang, David T. Blaauw, Dennis Sylvester, Helia Naeimi, Sumeet Sandhu:
A confidence-driven model for error-resilient computing. DATE 2011: 1608-1613 - [c215]Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
Energy-optimized high performance FFT processor. ICASSP 2011: 1701-1704 - [c214]Gregory K. Chen, Michael Wieckowski, Daeyeon Kim, David T. Blaauw, Dennis Sylvester:
A dense 45nm half-differential SRAM with lower minimum operating voltage. ISCAS 2011: 57-60 - [c213]Daeyeon Kim, Gregory K. Chen, Matthew Fojtik, Mingoo Seok, David T. Blaauw, Dennis Sylvester:
A 1.85fW/bit ultra low leakage 10T SRAM with speed compensation scheme. ISCAS 2011: 69-72 - [c212]Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester:
Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs. ISLPED 2011: 145-150 - [c211]Michael Wieckowski, Gregory K. Chen, Daeyeon Kim, David T. Blaauw, Dennis Sylvester:
A 128kb high density portless SRAM using hierarchical bitlines and thyristor sense amplifiers. ISQED 2011: 87-90 - [c210]Yoonmyung Lee, Bharan Giridhar, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
A 660pW multi-stage temperature-compensated timer for ultra-low-power wireless sensor node synchronization. ISSCC 2011: 46-48 - [c209]Gregory K. Chen, Hassan Ghaed, Razi-Ul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, David Fick, Daeyeon Kim, Mingoo Seok, Kensall D. Wise, David T. Blaauw, Dennis Sylvester:
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor. ISSCC 2011: 310-312 - [c208]Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David T. Blaauw, Dennis Sylvester:
A 0.27V 30MHz 17.7nJ/transform 1024-pt complex FFT core with super-pipelining. ISSCC 2011: 342-344 - 2010
- [j67]Carlos Tokunaga, David T. Blaauw:
Securing Encryption Systems With a Switched Capacitor Current Equalizer. IEEE J. Solid State Circuits 45(1): 23-31 (2010) - [j66]Scott Hanson, Zhiyoong Foo, David T. Blaauw, Dennis Sylvester:
A 0.5 V Sub-Microwatt CMOS Image Sensor With Pulse-Width Modulation Read-Out. IEEE J. Solid State Circuits 45(4): 759-767 (2010) - [j65]Ronald G. Dreslinski, Michael Wieckowski, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits. Proc. IEEE 98(2): 253-266 (2010) - [j64]Gregory K. Chen, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Circuit Design Advances for Wireless Sensing Applications. Proc. IEEE 98(11): 1808-1827 (2010) - [j63]Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
Victim Alignment in Crosstalk-Aware Timing Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(2): 261-274 (2010) - [j62]Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal:
Mechanical Stress Aware Optimization for Leakage Power Reduction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(5): 722-736 (2010) - [j61]Gregory K. Chen, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge:
Yield-Driven Near-Threshold SRAM Design. IEEE Trans. Very Large Scale Integr. Syst. 18(11): 1590-1598 (2010) - [c207]Cheng Zhuo, Yung-Hsu Chang, Dennis Sylvester, David T. Blaauw:
Design time body bias selection for parametric yield improvement. ASP-DAC 2010: 681-688 - [c206]Vivek Joshi, Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Analyzing electrical effects of RTA-driven local anneal temperature variation. ASP-DAC 2010: 739-744 - [c205]Vivek Joshi, Michael Wieckowski, Gregory K. Chen, David T. Blaauw, Dennis Sylvester:
Analyzing the impact of Double Patterning Lithography on SRAM variability in 45nm CMOS. CICC 2010: 1-4 - [c204]Yoonmyung Lee, Gregory K. Chen, Scott Hanson, Dennis Sylvester, David T. Blaauw:
Ultra-low power circuit techniques for a new class of sub-mm3 sensor nodes. CICC 2010: 1-8 - [c203]Prashant Singh, Eric Karl, Dennis Sylvester, David T. Blaauw:
Dynamic NBTI management using a 45nm multi-degradation sensor. CICC 2010: 1-4 - [c202]Vivek Joshi, Valeriy Sukharev, Andres Torres, Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Closed-form modeling of layout-dependent mechanical stress. DAC 2010: 673-678 - [c201]Vineeth Veetil, Yung-Hsu Chang, Dennis Sylvester, David T. Blaauw:
Efficient smart monte carlo based SSTA on graphics processing units with improved resource utilization. DAC 2010: 793-798 - [c200]Cheng Zhuo, Dennis Sylvester, David T. Blaauw:
Process variation and temperature-aware reliability management. DATE 2010: 580-585 - [c199]Michael Wieckowski, Dennis Sylvester, David T. Blaauw, Vikas Chandra, Sachin Idgunji, Cezary Pietrzyk, Robert C. Aitken:
A black box method for stability analysis of arbitrary SRAM cell structures. DATE 2010: 795-800 - [c198]Zhiyoong Foo, David Devescery, Thomas Schmid, Nathan Clark, R. Frank, Mohammad Hassan Ghaed, Ye-Sheng Kuo, Inhee Lee, Youn Sung Park, Zach Renner, Nathaniel Slottow, Vikas Vinay, Michael Wieckowski, Dongmin Yoon, Cliff Schmidt, David T. Blaauw, Peter M. Chen, Prabal Dutta:
A case for custom silicon in enabling low-cost information technology for developing regions. ACM DEV 2010: 22 - [c197]Mingoo Seok, Gyouho Kim, David T. Blaauw, Dennis Sylvester:
Variability analysis of a digitally trimmable ultra-low power voltage reference. ESSCIRC 2010: 110-113 - [c196]Gregory K. Chen, Michael Wieckowski, David T. Blaauw, Dennis Sylvester:
Crosshairs SRAM - An adaptive memory for mitigating parametric failures. ESSCIRC 2010: 366-369 - [c195]Vivek Joshi, Kanak Agarwal, David T. Blaauw, Dennis Sylvester:
Analysis and optimization of SRAM robustness for double patterning lithography. ICCAD 2010: 25-31 - [c194]Cheng Zhuo, Kanak Agarwal, David T. Blaauw, Dennis Sylvester:
Active learning framework for post-silicon variation extraction and test cost reduction. ICCAD 2010: 508-515 - [c193]Vineeth Veetil, Dennis Sylvester, David T. Blaauw:
A lower bound computation method for evaluation of statistical design techniques. ICCAD 2010: 562-569 - [c192]Mingoo Seok, Scott Hanson, Michael Wieckowski, Gregory K. Chen, Yu-Shiang Lin, David T. Blaauw, Dennis Sylvester:
Circuit design advances to enable ubiquitous sensing environments. ISCAS 2010: 285-288 - [c191]Mingoo Seok, David T. Blaauw, Dennis Sylvester:
Clock network design for ultra-low power applications. ISLPED 2010: 271-276 - [c190]Jae-sun Seo, Ron Ho, Jon K. Lexau, Michael Dayringer, Dennis Sylvester, David T. Blaauw:
High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS. ISSCC 2010: 182-183 - [c189]David Fick, Nurrachman Liu, Zhiyoong Foo, Matthew Fojtik, Jae-sun Seo, Dennis Sylvester, David T. Blaauw:
In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter. ISSCC 2010: 188-189 - [c188]Prashant Singh, Zhiyoong Foo, Michael Wieckowski, Scott Hanson, Matthew Fojtik, David T. Blaauw, Dennis Sylvester:
Early detection of oxide breakdown through in situ degradation sensing. ISSCC 2010: 190-191 - [c187]David M. Bull, Shidhartha Das, Karthik Shivashankar, Ganesh S. Dasika, Krisztián Flautner, David T. Blaauw:
A power-efficient 32b ARM ISA processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. ISSCC 2010: 284-285 - [c186]Gregory K. Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. ISSCC 2010: 288-289
2000 – 2009
- 2009
- [j60]Prashant Singh, Cheng Zhuo, Eric Karl, David T. Blaauw, Dennis Sylvester:
Sensor-Driven Reliability and Wearout Management. IEEE Des. Test Comput. 26(6): 40-49 (2009) - [j59]Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David M. Bull, David T. Blaauw:
RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance. IEEE J. Solid State Circuits 44(1): 32-48 (2009) - [j58]Scott Hanson, Mingoo Seok, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David T. Blaauw:
A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode. IEEE J. Solid State Circuits 44(4): 1145-1155 (2009) - [j57]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
Alignment-Independent Chip-to-Chip Communication for Sensor Applications Using Passive Capacitive Signaling. IEEE J. Solid State Circuits 44(4): 1156-1166 (2009) - [j56]Rajeev R. Rao, Vivek Joshi, David T. Blaauw, Dennis Sylvester:
Circuit optimization techniques to mitigate the effects of soft errors in combinational logic. ACM Trans. Design Autom. Electr. Syst. 15(1): 5:1-5:27 (2009) - [j55]Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Todd M. Austin, Dennis Sylvester, David T. Blaauw:
Energy-Efficient Subthreshold Processor Design. IEEE Trans. Very Large Scale Integr. Syst. 17(8): 1127-1137 (2009) - [c185]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
Near-field communication using phase-locking and pulse signaling for millimeter-scale systems. CICC 2009: 563-566 - [c184]Mingoo Seok, Gyouho Kim, Dennis Sylvester, David T. Blaauw:
A 0.5V 2.2pW 2-transistor voltage reference. CICC 2009: 577-580 - [c183]Shidhartha Das, David T. Blaauw, David M. Bull, Krisztián Flautner, Rob Aitken:
Addressing design margins through error-tolerant circuits. DAC 2009: 11-12 - [c182]Ravikishore Gandikota, Li Ding, Peivand Tehrani, David T. Blaauw:
Worst-case aggressor-victim alignment with current-source driver models. DAC 2009: 13-18 - [c181]Vineeth Veetil, Dennis Sylvester, David T. Blaauw, Saumil Shah, Steffen Rochel:
Efficient smart sampling based full-chip leakage analysis for intra-die variation considering state dependence. DAC 2009: 154-159 - [c180]David Fick, Andrew DeOrio, Jin Hu, Valeria Bertacco, David T. Blaauw, Dennis Sylvester:
Vicis: a reliable network for unreliable silicon. DAC 2009: 812-817 - [c179]David Fick, Andrew DeOrio, Gregory K. Chen, Valeria Bertacco, Dennis Sylvester, David T. Blaauw:
A highly resilient routing algorithm for fault-tolerant NoCs. DATE 2009: 21-26 - [c178]Cheng Zhuo, David T. Blaauw, Dennis Sylvester:
Post-fabrication measurement-driven oxide breakdown reliability prediction and management. ICCAD 2009: 441-448 - [c177]Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester:
Interconnect performance corners considering crosstalk noise. ICCD 2009: 231-237 - [c176]Shidhartha Das, David T. Blaauw:
Adaptive Design for Nanometer Technology. ISCAS 2009: 77-80 - [c175]Daeyeon Kim, Yoonmyung Lee, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, Dennis Sylvester, David T. Blaauw:
Low power circuit design based on heterojunction tunneling transistors (HETTs). ISLPED 2009: 219-224 - [c174]Carlos Tokunaga, David T. Blaauw:
Secure AES engine with a local switched-capacitor current equalizer. ISSCC 2009: 64-65 - [c173]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
A 150pW program-and-hold timer for ultra-low-power sensor platforms. ISSCC 2009: 326-327 - [c172]Ronald G. Dreslinski, David Fick, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reconfigurable Multicore Server Processors for Low Power Operation. SAMOS 2009: 247-254 - 2008
- [j54]Carlos Tokunaga, David T. Blaauw, Trevor N. Mudge:
True Random Number Generator With a Metastability-Based Quality Control. IEEE J. Solid State Circuits 43(1): 78-85 (2008) - [j53]Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd M. Austin, Dennis Sylvester, David T. Blaauw:
Exploring Variability and Performance in a Sub-200-mV Processor. IEEE J. Solid State Circuits 43(4): 881-891 (2008) - [j52]Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester:
A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM. IEEE J. Solid State Circuits 43(10): 2338-2348 (2008) - [j51]Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David T. Blaauw:
A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(2): 272-285 (2008) - [j50]Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw:
Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(3): 481-494 (2008) - [j49]David T. Blaauw, Kaviraj Chopra, Ashish Srivastava, Louis Scheffer:
Statistical Timing Analysis: From Basic Principles to State of the Art. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4): 589-607 (2008) - [j48]Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Multi-Mechanism Reliability Modeling and Management in Dynamic Systems. IEEE Trans. Very Large Scale Integr. Syst. 16(4): 476-487 (2008) - [j47]Prashant Singh, Jae-sun Seo, David T. Blaauw, Dennis Sylvester:
Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect. IEEE Trans. Very Large Scale Integr. Syst. 16(6): 673-677 (2008) - [c171]David T. Blaauw, James Kitchener, Braden Phillips:
Optimizing addition for sub-threshold logic. ACSCC 2008: 751-756 - [c170]Mingoo Seok, Scott Hanson, Jae-sun Seo, Dennis Sylvester, David T. Blaauw:
Robust ultra-low voltage ROM design. CICC 2008: 423-426 - [c169]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
An ultra low power 1V, 220nW temperature sensor for passive wireless applications. CICC 2008: 507-510 - [c168]Michael Wieckowski, Young Min Park, Carlos Tokunaga, Dong Woon Kim, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw:
Timing yield enhancement through soft edge flip-flop based design. CICC 2008: 543-546 - [c167]Vineeth Veetil, Dennis Sylvester, David T. Blaauw:
Efficient Monte Carlo based incremental statistical timing analysis. DAC 2008: 676-681 - [c166]Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal:
Leakage power reduction using stress-enhanced layouts. DAC 2008: 912-917 - [c165]Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester:
Modeling crosstalk in statistical static timing analysis. DAC 2008: 974-979 - [c164]Brian Cline, Kaviraj Chopra, David T. Blaauw, Andres Torres, Savithri Sundareswaran:
Transistor-Specific Delay Modeling for SSTA. DATE 2008: 592-597 - [c163]Sanjay Pant, David T. Blaauw:
Circuit techniques for suppression and measurement of on-chip inductive supply noise. ESSCIRC 2008: 134-137 - [c162]Yoonmyung Lee, Mingoo Seok, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Standby power reduction techniques for ultra-low power processors. ESSCIRC 2008: 186-189 - [c161]Jae-sun Seo, Igor L. Markov, Dennis Sylvester, David T. Blaauw:
On the decreasing significance of large standard cells in technology mapping. ICCAD 2008: 116-121 - [c160]Brian Cline, Vivek Joshi, Dennis Sylvester, David T. Blaauw:
STEEL: a technique for stress-enhanced standard cell library design. ICCAD 2008: 691-697 - [c159]Kaviraj Chopra, Cheng Zhuo, David T. Blaauw, Dennis Sylvester:
A statistical approach for full-chip gate-oxide reliability analysis. ICCAD 2008: 698-705 - [c158]Yu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga, Razi-Ul Haque, Kensall D. Wise, Ann Marie Sastry, David T. Blaauw, Dennis Sylvester:
Low-voltage circuit design for widespread sensing applications. ISCAS 2008: 2558-2561 - [c157]Mingoo Seok, Dennis Sylvester, David T. Blaauw:
Optimal technology selection for minimizing energy and variability in low voltage applications. ISLPED 2008: 9-14 - [c156]Cheng Zhuo, David T. Blaauw, Dennis Sylvester:
Variation-aware gate sizing and clustering for post-silicon optimized circuits. ISLPED 2008: 105-110 - [c155]Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal:
Stress aware layout optimization. ISPD 2008: 168-174 - [c154]Vineeth Veetil, Dennis Sylvester, David T. Blaauw:
Fast and Accurate Waveform Analysis with Current Source Models. ISQED 2008: 53-56 - [c153]Eric Karl, Dennis Sylvester, David T. Blaauw:
Analysis of System-Level Reliability Factors and Implications on Real-Time Monitoring Methods for Oxide Breakdown Device Failures. ISQED 2008: 391-395 - [c152]David T. Blaauw, Sudherssen Kalaiselvan, Kevin Lai, Wei-Hsiang Ma, Sanjay Pant, Carlos Tokunaga, Shidhartha Das, David M. Bull:
Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance. ISSCC 2008: 400-401 - [c151]Eric Karl, Prashant Singh, David T. Blaauw, Dennis Sylvester:
Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation. ISSCC 2008: 410-411 - [c150]Sanjay Pant, David T. Blaauw:
A Charge-Injection-Based Active-Decoupling Technique for Inductive-Supply-Noise Suppression. ISSCC 2008: 416-417 - [c149]Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Reconfigurable energy efficient near threshold cache architectures. MICRO 2008: 459-470 - 2007
- [j46]Sanjay Pant, Eli Chiprout, David T. Blaauw:
Power Grid Physics and Implications for CAD. IEEE Des. Test Comput. 24(3): 246-254 (2007) - [j45]Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3): 468-479 (2007) - [c148]Ronald G. Dreslinski, Bo Zhai, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester:
An Energy Efficient Parallel Architecture Using Near Threshold Operation. PACT 2007: 175-188 - [c147]Sanjay Pant, David T. Blaauw:
Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks. ASP-DAC 2007: 757-762 - [c146]Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw:
A sub-pW timer using gate leakage for ultra low-power sub-Hz monitoring systems. CICC 2007: 397-400 - [c145]Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer:
Top-k Aggressors Sets in Delay Noise Analysis. DAC 2007: 174-179 - [c144]Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw:
Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design. DAC 2007: 694-699 - [c143]Scott Hanson, Mingoo Seok, Dennis Sylvester, David T. Blaauw:
Nanometer Device Scaling in Subthreshold Circuits. DAC 2007: 700-705 - [c142]Gregory K. Chen, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester, Nam Sung Kim:
Yield-driven near-threshold SRAM design. ICCAD 2007: 660-666 - [c141]Vivek Joshi, David T. Blaauw, Dennis Sylvester:
Soft-edge flip-flops for improved timing yield: design and optimization. ICCAD 2007: 667-673 - [c140]Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer, Joao Geada:
Victim alignment in crosstalk aware timing analysis. ICCAD 2007: 698-704 - [c139]Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester:
Energy efficient near-threshold chip multi-processing. ISLPED 2007: 32-37 - [c138]Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy:
A robust edge encoding technique for energy-efficient multi-cycle interconnect. ISLPED 2007: 68-73 - [c137]Jae-sun Seo, Prashant Singh, Dennis Sylvester, David T. Blaauw:
Self-Time Regenerators for High-Speed and Low-Power Interconnect. ISQED 2007: 621-626 - [c136]Mini Nanua, David T. Blaauw:
Investigating Crosstalk in Sub-Threshold Circuits. ISQED 2007: 639-646 - [c135]Bo Zhai, David T. Blaauw, Dennis Sylvester, Scott Hanson:
A Sub-200mV 6T SRAM in 0.13μm CMOS. ISSCC 2007: 332-606 - [c134]David T. Blaauw, Georgios Konstandinidis:
Digital Circuit Innovations. ISSCC 2007: 396-397 - [c133]Carlos Tokunaga, David T. Blaauw, Trevor N. Mudge:
True Random Number Generator with a Metastability-Based Quality Control. ISSCC 2007: 404-611 - [c132]Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester:
Energy-Optimal Circuit Design. SoC 2007: 1-4 - [c131]Mini Nanua, David T. Blaauw:
Crosstalk Waveform Modeling Using Wave Fitting. PATMOS 2007: 211-221 - [i2]Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin:
DVS for On-Chip Bus Designs Based on Timing Error Correction. CoRR abs/0710.4679 (2007) - [i1]Aseem Agarwal, Kaviraj Chopra, David T. Blaauw:
Statistical Timing Based Optimization using Gate Sizing. CoRR abs/0710.4697 (2007) - 2006
- [j44]Dennis Sylvester, David T. Blaauw, Eric Karl:
ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. IEEE Des. Test Comput. 23(6): 484-490 (2006) - [j43]Scott Hanson, Bo Zhai, Kerry Bernstein, David T. Blaauw, Andres Bryant, Leland Chang, Koushik K. Das, Wilfried Haensch, Edward J. Nowak, Dennis Sylvester:
Ultralow-voltage, minimum-energy CMOS. IBM J. Res. Dev. 50(4-5): 469-490 (2006) - [j42]Shidhartha Das, David Roberts, Seokwoo Lee, Sanjay Pant, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge:
A self-tuning DVS processor using delay-error detection and correction. IEEE J. Solid State Circuits 41(4): 792-804 (2006) - [j41]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(5): 892-901 (2006) - [j40]Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw:
Statistical interconnect metrics for physical-design optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(7): 1273-1288 (2006) - [j39]Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester:
Analytical yield prediction considering leakage/performance correlation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(9): 1685-1695 (2006) - [j38]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Runtime Leakage Minimization Through Probability-Aware Optimization. IEEE Trans. Very Large Scale Integr. Syst. 14(10): 1075-1088 (2006) - [c130]Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reliability modeling and management in dynamic microprocessor-based systems. DAC 2006: 1057-1060 - [c129]Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester:
An efficient static algorithm for computing the soft error rates of combinational circuits. DATE 2006: 164-169 - [c128]Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw:
A statistical framework for post-silicon tuning through body bias clustering. ICCAD 2006: 39-46 - [c127]Brian Cline, Kaviraj Chopra, David T. Blaauw, Yu Cao:
Analysis and modeling of CD variation for statistical static timing. ICCAD 2006: 60-66 - [c126]Kaviraj Chopra, Bo Zhai, David T. Blaauw, Dennis Sylvester:
A new statistical max operation for propagating skewness in statistical timing analysis. ICCAD 2006: 237-243 - [c125]Rajeev R. Rao, David T. Blaauw, Dennis Sylvester:
Soft error reduction in combinational logic using gate resizing and flipflop selection. ICCAD 2006: 502-509 - [c124]Sanjay Pant, David T. Blaauw:
An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks. ICCD 2006: 168-173 - [c123]David T. Blaauw, Bo Zhai:
Energy efficient design for subthreshold supply voltage operation. ISCAS 2006 - [c122]Scott Hanson, Dennis Sylvester, David T. Blaauw:
A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. ISLPED 2006: 338-341 - [c121]Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester, Andres Bryant, Xinlin Wang:
Energy optimality and variability in subthreshold design. ISLPED 2006: 363-365 - [c120]Vivek Joshi, Rajeev R. Rao, David T. Blaauw, Dennis Sylvester:
Logic SER Reduction through Flipflop Redesign. ISQED 2006: 611-616 - [c119]Mini Nanua, David T. Blaauw:
Receiver Modeling for Static Functional Crosstalk Analysis. PATMOS 2006: 329-339 - 2005
- [b1]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Statistical Analysis and Optimization for VLSI: Timing and Power. Series on Integrated Circuits and Systems, Springer 2005, ISBN 978-0-387-25738-9, pp. 1-279 - [j37]Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Anirudh Devgan:
Modeling and Analysis of Parametric Yield under Power and Performance Constraints. IEEE Des. Test Comput. 22(4): 376-385 (2005) - [j36]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Static leakage reduction through simultaneous Vt/Tox and state assignment. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(7): 1014-1029 (2005) - [j35]Sarvesh Bhardwaj, Sarma B. K. Vrudhula, David T. Blaauw:
Probability distribution of signal arrival times using Bayesian networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(11): 1784-1794 (2005) - [j34]Nam Sung Kim, David T. Blaauw, Trevor N. Mudge:
Quantitative analysis and optimization techniques for on-chip cache leakage power. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1147-1156 (2005) - [j33]Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. IEEE Trans. Very Large Scale Integr. Syst. 13(11): 1239-1252 (2005) - [j32]Rajeev R. Rao, Harmander Deogun, David T. Blaauw, Dennis Sylvester:
Bus encoding for total power reduction using a leakage-aware buffer configuration. IEEE Trans. Very Large Scale Integr. Syst. 13(12): 1376-1383 (2005) - [c118]Todd M. Austin, Valeria Bertacco, David T. Blaauw, Trevor N. Mudge:
Opportunities and challenges for better than worst-case design. ASP-DAC 2005: 2-7 - [c117]Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Anirudh Devgan:
Achieving continuous VT performance in a dual VT process. ASP-DAC 2005: 393-398 - [c116]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment. ASP-DAC 2005: 399-404 - [c115]Mridul Agarwal, Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Statistical modeling of cross-coupling effects in VLSI interconnects. ASP-DAC 2005: 503-506 - [c114]David T. Blaauw, Anirudh Devgan, Farid N. Najm:
Leakage power: trends, analysis and avoidance. ASP-DAC 2005 - [c113]Leyla Nazhandali, Michael Minuth, Bo Zhai, Javin Olson, Todd M. Austin, David T. Blaauw:
A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution. CASES 2005: 249-256 - [c112]Aseem Agarwal, Kaviraj Chopra, David T. Blaauw, Vladimir Zolotov:
Circuit optimization using statistical static timing analysis. DAC 2005: 321-324 - [c111]Ashish Srivastava, Saumil Shah, Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Stephen W. Director:
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance. DAC 2005: 535-540 - [c110]David T. Blaauw, Kaviraj Chopra:
CAD tools for variation tolerance. DAC 2005: 766 - [c109]Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin:
DVS for On-Chip Bus Designs Based on Timing Error Correction. DATE 2005: 80-85 - [c108]Aseem Agarwal, Kaviraj Chopra, David T. Blaauw:
Statistical Timing Based Optimization using Gate Sizing. DATE 2005: 400-405 - [c107]Amit Jain, David T. Blaauw:
Slack borrowing in flip-flop based sequential circuits. ACM Great Lakes Symposium on VLSI 2005: 96-101 - [c106]Sanjay Pant, David T. Blaauw:
Static timing analysis considering power supply variations. ICCAD 2005: 365-371 - [c105]Saumil Shah, Ashish Srivastava, Dushyant Sharma, Dennis Sylvester, David T. Blaauw, Vladimir Zolotov:
Discrete Vt assignment and gate sizing using a self-snapping continuous formulation. ICCAD 2005: 705-712 - [c104]Amit Jain, David T. Blaauw, Vladimir Zolotov:
Accurate delay computation for noisy waveform shapes. ICCAD 2005: 947-953 - [c103]Kaviraj Chopra, Saumil Shah, Ashish Srivastava, David T. Blaauw, Dennis Sylvester:
Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation. ICCAD 2005: 1023-1028 - [c102]Leyla Nazhandali, Bo Zhai, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Sanjay Pant, Todd M. Austin, David T. Blaauw:
Energy Optimization of Subthreshold-Voltage Sensor Network Processors. ISCA 2005: 197-207 - [c101]Eric Karl, Dennis Sylvester, David T. Blaauw:
Timing error correction techniques for voltage-scalable on-chip memories. ISCAS (4) 2005: 3563-3566 - [c100]Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester:
Analysis and mitigation of variability in subthreshold design. ISLPED 2005: 20-25 - [c99]Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif:
An efficient surface-based low-power buffer insertion algorithm. ISPD 2005: 86-93 - [c98]David Roberts, Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner:
Error Analysis for the Support of Robust Voltage Scaling. ISQED 2005: 65-70 - [c97]Mini Nanua, David T. Blaauw, Chanhee Oh:
Leakage Current Modeling in PD SOI Circuits. ISQED 2005: 113-117 - [c96]Harmander Deogun, Dennis Sylvester, David T. Blaauw:
Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate. ISQED 2005: 175-180 - 2004
- [j31]Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner:
Making Typical Silicon Matter with Razor. Computer 37(3): 57-65 (2004) - [j30]Todd M. Austin, David T. Blaauw, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Wayne H. Wolf:
Mobile Supercomputers. Computer 37(5): 81-83 (2004) - [j29]Mini Nanua, David T. Blaauw:
Noise analysis methodology for partially depleted SOI circuits. IEEE J. Solid State Circuits 39(9): 1581-1585 (2004) - [j28]Dan Ernst, Shidhartha Das, Seokwoo Lee, David T. Blaauw, Todd M. Austin, Trevor N. Mudge, Nam Sung Kim, Krisztián Flautner:
Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation. IEEE Micro 24(6): 10-20 (2004) - [j27]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A library compatible driver output model for on-chip RLC transmission lines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(1): 128-136 (2004) - [j26]Aseem Agarwal, Vladimir Zolotov, David T. Blaauw:
Statistical clock skew analysis considering intradie-process variations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(8): 1231-1242 (2004) - [j25]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A simple metric for slew rate of RC circuits based on two circuit moments. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(9): 1346-1354 (2004) - [j24]Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj:
Postroute gate sizing for crosstalk noise reduction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(12): 1670-1677 (2004) - [j23]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Performance optimization of critical nets through active shielding. IEEE Trans. Circuits Syst. I Regul. Pap. 51-I(12): 2417-2435 (2004) - [j22]Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester:
Statistical analysis of subthreshold leakage current for VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 131-139 (2004) - [j21]Dongwoo Lee, David T. Blaauw, Dennis Sylvester:
Gate oxide leakage current analysis and reduction for VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 155-166 (2004) - [j20]Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge:
Circuit and microarchitectural techniques for reducing cache leakage power. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 167-184 (2004) - [c95]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. ASP-DAC 2004: 858-864 - [c94]Sanjay Pant, David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda:
A stochastic approach To power grid analysis. DAC 2004: 171-176 - [c93]Seokwoo Lee, Shidhartha Das, Valeria Bertacco, Todd M. Austin, David T. Blaauw, Trevor N. Mudge:
Circuit-aware architectural simulation. DAC 2004: 305-310 - [c92]Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Frank Liu, Sani R. Nassif, Sarma B. K. Vrudhula:
Variational delay metrics for interconnect timing analysis. DAC 2004: 381-384 - [c91]Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester:
Parametric yield estimation considering leakage variability. DAC 2004: 442-447 - [c90]Aseem Agarwal, Florentin Dartu, David T. Blaauw:
Statistical gate delay model considering multiple input switching. DAC 2004: 658-663 - [c89]Dongwoo Lee, Vladimir Zolotov, David T. Blaauw:
Static timing analysis using backward signal propagation. DAC 2004: 664-669 - [c88]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Statistical optimization of leakage power considering process variations using dual-Vth and sizing. DAC 2004: 773-778 - [c87]Harmander Deogun, Rajeev R. Rao, Dennis Sylvester, David T. Blaauw:
Leakage-and crosstalk-aware bus encoding for total power reduction. DAC 2004: 779-782 - [c86]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment. DAC 2004: 783-787 - [c85]Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Theoretical and practical limits of dynamic voltage scaling. DAC 2004: 868-873 - [c84]Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester:
Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. DATE 2004: 494-499 - [c83]Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design. DATE 2004: 718-719 - [c82]Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge:
Reducing pipeline energy demands with local DVS and dynamic retiming. ISLPED 2004: 319-324 - [c81]Woo Hyung Lee, Sanjay Pant, David T. Blaauw:
Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids. ISQED 2004: 131-136 - [c80]Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner:
Extended dynamic voltage scaling for low power design. SoCC 2004: 389-394 - [p1]Dongwoo Lee, Bo Zhai, David T. Blaauw, Dennis Sylvester:
Static Leakage Reduction through Simulteneous VTT/TOX and State Assignment. Ultra Low-Power Electronics and Design 2004: 56-83 - 2003
- [j19]Nam Sung Kim, Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner, Jie S. Hu, Mary Jane Irwin, Mahmut T. Kandemir, Narayanan Vijaykrishnan:
Leakage Current: Moore's Law Meets Static Power. Computer 36(12): 68-75 (2003) - [j18]Rajendran Panda, Savithri Sundareswaran, David T. Blaauw:
Impact of Low-Impedance Substrate on Power Supply Integrity. IEEE Des. Test Comput. 20(3): 16-22 (2003) - [j17]David T. Blaauw, Chanhee Oh, Vladimir Zolotov, Aurobindo Dasgupta:
Static electromigration analysis for on-chip signal interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(1): 39-48 (2003) - [j16]Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar:
Fast on-chip inductance simulation using a precorrected-FFT method. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(1): 49-66 (2003) - [j15]Murat R. Becer, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj:
Early probabilistic noise estimation for capacitively coupled interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(3): 337-345 (2003) - [j14]Li Ding, David T. Blaauw, Pinaki Mazumder:
Accurate crosstalk noise modeling for early signal integrity analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(5): 627-634 (2003) - [j13]David T. Blaauw, Luciano Lavagno:
Guest Editorial. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(8): 962-963 (2003) - [j12]Sarma B. K. Vrudhula, David T. Blaauw, Supamas Sirichotiyakul:
Probabilistic analysis of interconnect coupling noise. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(9): 1188-1203 (2003) - [j11]Aseem Agarwal, Vladimir Zolotov, David T. Blaauw:
Statistical timing analysis using bounds and selective enumeration. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(9): 1243-1260 (2003) - [j10]David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh:
Driver modeling and alignment for worst-case delay noise. IEEE Trans. Very Large Scale Integr. Syst. 11(2): 157-166 (2003) - [c79]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Min Zhao, Kaushik Gala, Rajendran Panda:
Statistical delay computation considering spatial correlations. ASP-DAC 2003: 271-276 - [c78]Mini Nanua, David T. Blaauw:
Noise analysis methodology for partially depleted SOI circuits. CICC 2003: 719-722 - [c77]Jan M. Rabaey, Dennis Sylvester, David T. Blaauw, Kerry Bernstein, Jerry Frenkil, Mark Horowitz, Wolfgang Nebel, Takayasu Sakurai, Andrew Yang:
Reshaping EDA for power. DAC 2003: 15 - [c76]Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester:
Analysis and minimization techniques for total leakage considering gate oxide leakage. DAC 2003: 175-180 - [c75]Dongwoo Lee, David T. Blaauw:
Static leakage reduction through simultaneous threshold voltage and state assignment. DAC 2003: 191-194 - [c74]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Computation and Refinement of Statistical Bounds on Circuit Delay. DAC 2003: 348-353 - [c73]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
An effective capacitance based driver output model for on-chip RLC interconnects. DAC 2003: 376-381 - [c72]Bhavana Thudi, David T. Blaauw:
Non-iterative switching window computation for delay-noise. DAC 2003: 390-395 - [c71]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Simple metrics for slew rate of RC circuits based on two circuit moments. DAC 2003: 950-953 - [c70]Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj:
Post-route gate sizing for crosstalk noise reduction. DAC 2003: 954-957 - [c69]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Statistical Timing Analysis Using Bounds. DATE 2003: 10062-10067 - [c68]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Clock net optimization using active shielding. ESSCIRC 2003: 265-268 - [c67]Sanjay Pant, David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda:
Vectorless Analysis of Supply Noise Induced Delay Variation. ICCAD 2003: 184-192 - [c66]Sarvesh Bhardwaj, Sarma B. K. Vrudhula, David T. Blaauw:
AU: Timing Analysis Under Uncertainty. ICCAD 2003: 615-620 - [c65]Nam Sung Kim, David T. Blaauw, Trevor N. Mudge:
Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level Caches. ICCAD 2003: 627-632 - [c64]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov:
Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. ICCAD 2003: 900-907 - [c63]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov:
Statistical Clock Skew Analysis Considering Intra-Die Process Variations. ICCAD 2003: 914-921 - [c62]D. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel:
SOI Transistor Model for Fast Transient Simulation. ICCAD 2003: 120128 - [c61]Shidhartha Das, Kanak Agarwal, David T. Blaauw, Dennis Sylvester:
Optimal Inductance for On-chip RLC Interconnections. ICCD 2003: 264- - [c60]Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar:
Table look-up based compact modeling for on-chip interconnect timing and noise analysis. ISCAS (4) 2003: 668-671 - [c59]Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester:
Statistical estimation of leakage current considering inter- and intra-die process variation. ISLPED 2003: 84-89 - [c58]Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj:
Post-Route Gate Sizing for Crosstalk Noise Reduction. ISQED 2003: 171-176 - [c57]Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester:
Simultaneous Subthreshold and Gate-Oxide Tunneling Leakage Current Analysis in Nanometer CMOS Design. ISQED 2003: 287-292 - [c56]Chanhee Oh, David T. Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta:
Static Electromigration Analysis for Signal Interconnects. ISQED 2003: 377-382 - [c55]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
Dynamic clamping: on-chip dynamic shielding and termination for high-speed RLC buses. SoC 2003: 97-100 - [c54]Robert Bai, Sarvesh H. Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David T. Blaauw:
An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages. ISVLSI 2003: 149-154 - [c53]Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge:
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. MICRO 2003: 7-18 - 2002
- [j9]David T. Blaauw, Luciano Lavagno:
Guest Editors' Introduction: Hot Topics at This Year's Design Automation Conference. IEEE Des. Test Comput. 19(4): 72-73 (2002) - [j8]David T. Blaauw, Steven M. Martin, Trevor N. Mudge, Krisztián Flautner:
Leakage Current Reduction in VLSI Systems. J. Circuits Syst. Comput. 11(6): 621-636 (2002) - [j7]Min Zhao, Rajendran Panda, Sachin S. Sapatnekar, David T. Blaauw:
Hierarchical analysis of power distribution networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(2): 159-168 (2002) - [j6]David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran:
Slope propagation in static timing analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(10): 1180-1195 (2002) - [j5]Alexey Glebov, Sergey Gavrilov, David T. Blaauw, Vladimir Zolotov:
False-noise analysis using logic implications. ACM Trans. Design Autom. Electr. Syst. 7(3): 474-498 (2002) - [j4]Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David T. Blaauw:
Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits. IEEE Trans. Very Large Scale Integr. Syst. 10(2): 79-90 (2002) - [j3]Kaushik Gala, David T. Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi:
Inductance model and analysis methodology for high-speed on-chip interconnect. IEEE Trans. Very Large Scale Integr. Syst. 10(6): 730-745 (2002) - [c52]Sarma B. K. Vrudhula, David T. Blaauw, Supamas Sirichotiyakul:
Estimation of the likelihood of capacitive coupling noise. DAC 2002: 653-658 - [c51]Murat R. Becer, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj:
Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . DATE 2002: 456-463 - [c50]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Active shields: a new approach to shielding global wires. ACM Great Lakes Symposium on VLSI 2002: 112-117 - [c49]Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar:
A precorrected-FFT method for simulating on-chip inductance. ICCAD 2002: 221-227 - [c48]Sarvesh Bhardwaj, Sarma B. K. Vrudhula, David T. Blaauw:
Estimation of signal arrival times in the presence of delay noise. ICCAD 2002: 418-422 - [c47]Vladimir Zolotov, David T. Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy:
Noise propagation and failure criteria for VLSI designs. ICCAD 2002: 587-594 - [c46]Li Ding, David T. Blaauw, Pinaki Mazumder:
Efficient crosstalk noise modeling using aggressor and tree reductions. ICCAD 2002: 595-600 - [c45]Steven M. Martin, Krisztián Flautner, Trevor N. Mudge, David T. Blaauw:
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. ICCAD 2002: 721-725 - [c44]Krisztián Flautner, Nam Sung Kim, Steven M. Martin, David T. Blaauw, Trevor N. Mudge:
Drowsy Caches: Simple Techniques for Reducing Leakage Power. ISCA 2002: 148-157 - [c43]Li Ding, Pinaki Mazumder, David T. Blaauw:
Crosstalk noise estimation using effective coupling capacitance. ISCAS (5) 2002: 645-648 - [c42]Ashish Srivastava, Robert Bai, David T. Blaauw, Dennis Sylvester:
Modeling and analysis of leakage power considering within-die process variations. ISLPED 2002: 64-67 - [c41]Murat R. Becer, Rajendran Panda, David T. Blaauw, Ibrahim N. Hajj:
Pre-route Noise Estimation in Deep Submicron Integrated Circuits. ISQED 2002: 413-418 - [c40]Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Chanhee Oh:
Noise Injection and Propagation in High Performance Designs. ISQED 2002: 425-430 - [c39]Alexey Glebov, Sergey Gavrilov, David T. Blaauw, Vladimir Zolotov, Rajendran Panda, Chanhee Oh:
False-Noise Analysis Using Resolution Method. ISQED 2002: 437-442 - [c38]Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge:
Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. MICRO 2002: 219-230 - [c37]Fadi A. Aloul, Soha Hassoun, Karem A. Sakallah, David T. Blaauw:
Robust SAT-Based Search Algorithm for Leakage Power Reduction. PATMOS 2002: 167-177 - [c36]Murat R. Becer, David T. Blaauw, Ibrahim N. Hajj, Rajendran Panda:
Early probabilistic noise estimation for capacitively coupled interconnects. SLIP 2002: 77-83 - [c35]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Statistical timing analysis using bounds and selective enumeration. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 16-21 - [c34]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Statistical timing analysis using bounds and selective enumeration. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 29-36 - [c33]Kanak Agarwal, Dennis Sylvester, David T. Blaauw:
A library compatible driving point model for on-chip RLC interconnects. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 63-69 - [c32]Bhavana Thudi, David T. Blaauw:
Efficient switching window computation for cross-talk noise. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 84-91 - [c31]Himanshu Kaul, Dennis Sylvester, David T. Blaauw:
Active shielding of RLC global interconnects. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 98-104 - 2001
- [c30]Kaushik Gala, David T. Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao:
Inductance 101: Analysis and Design Issues. DAC 2001: 329-334 - [c29]Supamas Sirichotiyakul, David T. Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, Jingyan Zuo:
Driver Modeling and Alignment for Worst-Case Delay Noise. DAC 2001: 720-725 - [c28]Alexey Glebov, Sergey Gavrilov, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov:
False-Noise Analysis using Logic Implications. ICCAD 2001: 515- - [c27]Rajendran Panda, Savithri Sundareswaran, David T. Blaauw:
On the interaction of power distribution network with substrate. ISLPED 2001: 388-393 - [c26]Murat R. Becer, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj:
A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. ISQED 2001: 158-163 - 2000
- [c25]Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David T. Blaauw:
On-chip inductance modeling and analysis. DAC 2000: 63-68 - [c24]Min Zhao, Rajendran Panda, Sachin S. Sapatnekar, Tim Edwards, Rajat Chaudhry, David T. Blaauw:
Hierarchical analysis of power distribution networks. DAC 2000: 150-155 - [c23]Rajat Chaudhry, David T. Blaauw, Rajendran Panda, Tim Edwards:
Current signature compression for IR-drop analysis. DAC 2000: 162-167 - [c22]Rafi Levy, David T. Blaauw, Gabi Braca, Aurobindo Dasgupta, Amir Grinshpon, Chanhee Oh, Boaz Orshav, Supamas Sirichotiyakul, Vladimir Zolotov:
ClariNet: a noise analysis tool for deep submicron design. DAC 2000: 233-238 - [c21]David T. Blaauw, Rajendran Panda, Abhijit Das:
Removing user specified false paths from timing graphs. DAC 2000: 270-273 - [c20]David T. Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang:
On-chip inductance modeling. ACM Great Lakes Symposium on VLSI 2000: 75-80 - [c19]David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Chanhee Oh, Rajendran Panda:
Slope Propagation in Static Timing Analysis. ICCAD 2000: 338-343 - [c18]Rajendran Panda, David T. Blaauw, Rajat Chaudhry, Vladimir Zolotov, Brian Young, Ravi Ramaraju:
Model and analysis for combined package and on-chip power grid simulation. ISLPED 2000: 179-184 - [c17]Rajat Chaudhry, Rajendran Panda, Tim Edwards, David T. Blaauw:
Design and Analysis of Power Distribution Networks with Accurate RLC Models. VLSI Design 2000: 151-155 - [e2]David T. Blaauw, Christian C. Enz, Thaddeus Gabara, Enrico Macii:
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000. ACM 2000, ISBN 1-58113-190-9 [contents]
1990 – 1999
- 1999
- [c16]Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Jingyan Zuo, Abhijit Dharchoudhury, Rajendran Panda, David T. Blaauw:
Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing. DAC 1999: 436-441 - [c15]Savithri Sundareswaran, David T. Blaauw, Abhijit Dharchoudhury:
A Three-Tier Assertion Technique for Spice Verification of Transistor Level Timing Analysis. VLSI Design 1999: 175-180 - [e1]Farid N. Najm, Jason Cong, David T. Blaauw:
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999. ACM 1999, ISBN 1-58113-133-X [contents] - [r1]Steve M. Kang, Abhijit Dharchoudhury, David T. Blaauw, Stantanu Ganguly:
Timing and Signal Integrity Analysis. The VLSI Handbook 1999 - 1998
- [c14]Rajendran Panda, Abhijit Dharchoudhury, Tim Edwards, Joe Norton, David T. Blaauw:
Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization. DAC 1998: 388-391 - [c13]Abhijit Dharchoudhury, Rajendran Panda, David T. Blaauw, Ravi Vaidyanathan, Bogdan Tutuianu, David Bearden:
Design and Analysis of Power Distribution Networks in PowerPC Microprocessors. DAC 1998: 738-743 - [c12]David T. Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards:
Emerging power management tools for processor design. ISLPED 1998: 143-148 - 1997
- [c11]Sergey Gavrilov, Alexey Glebov, Sergey G. Rusakov, David T. Blaauw, Larry G. Jones, Gopalakrishnan Vijayan:
Fast power loss calculation for digital static CMOS circuits. ED&TC 1997: 411-415 - [c10]Sergey Gavrilov, Alexey Glebov, Satyamurthy Pullela, S. C. Moore, Abhijit Dharchoudhury, Rajendran Panda, Gopalakrishnan Vijayan, David T. Blaauw:
Library-less synthesis for static CMOS combinational logic circuits. ICCAD 1997: 658-662 - [c9]Abhijit Dharchoudhury, David T. Blaauw, Joe Norton, Satyamurthy Pullela, J. Dunning:
Transistor-level Sizing and Timing Verification of Domino Circuits in the Power PC Microprocessor. ICCD 1997: 143-148 - 1995
- [c8]Alexey Glebov, David T. Blaauw, Larry G. Jones:
Transistor reordering for low power CMOS gates using an SP-BDD representation. ISLPD 1995: 161-166 - 1994
- [j2]Larry G. Jones, David T. Blaauw:
A cache-based method for accelerating switch-level simulation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(2): 211-218 (1994) - 1991
- [c7]David T. Blaauw, Daniel G. Saab, Prithviraj Banerjee, Jacob A. Abraham:
Functional abstraction of logic gates for switch-level simulation. EURO-DAC 1991: 329-333 - 1990
- [j1]Daniel G. Saab, Robert B. Mueller-Thuns, David T. Blaauw, Joseph T. Rahmeh, Jacob A. Abraham:
Hierarchical multi-level fault simulation of large systems. J. Electron. Test. 1(2): 139-149 (1990) - [c6]David T. Blaauw, Daniel G. Saab, Junsheng Long, Jacob A. Abraham:
Derivation of signal flow for switch-level simulation. EURO-DAC 1990: 301-305 - [c5]David T. Blaauw, Robert B. Mueller-Thuns, Daniel G. Saab, Prithviraj Banerjee, Jacob A. Abraham:
SNEL: A Switch-Level Simulator Using Multiple Levels of Functional Abstraction. ICCAD 1990: 66-69 - [c4]David T. Blaauw, Prithviraj Banerjee, Jacob A. Abraham:
Automatic classification of node types in switch-level descriptions. ICCD 1990: 175-178 - [c3]Daniel G. Saab, Robert B. Mueller-Thuns, David T. Blaauw, Joseph T. Rahmeh, Jacob A. Abraham:
Fault grading of large digital systems. ICCD 1990: 290-293
1980 – 1989
- 1989
- [c2]David T. Blaauw, Daniel G. Saab, Robert B. Mueller-Thuns, Jacob A. Abraham, Joseph T. Rahmeh:
Automatic Generation of Behavioral Models from Switch-Level Descriptions. DAC 1989: 179-184 - 1988
- [c1]Daniel G. Saab, Robert B. Mueller-Thuns, David T. Blaauw, Jacob A. Abraham, Joseph T. Rahmeh:
CHAMP: concurrent hierarchical and multilevel program for simulation of VLSI circuits. ICCAD 1988: 246-249
Coauthor Index
aka: Hyochan Ahn
aka: Kyojin Choo
aka: Reetu Das
aka: Ronald Dreslinski Jr.
aka: Hassan Ghaed
aka: Taekwang Jang
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-15 20:35 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint