default search action
Kwang-Ting Cheng
Person information
- affiliation: Hong Kong University of Science and Technology
- affiliation: University of California, Santa Barbara, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2025
- [j155]Xixi Jiang, Dong Zhang, Xiang Li, Kangyi Liu, Kwang-Ting Cheng, Xin Yang:
Labeled-to-unlabeled distribution alignment for partially-supervised multi-organ medical image segmentation. Medical Image Anal. 99: 103333 (2025) - 2024
- [j154]Dong Zhang, Yi Lin, Jinhui Tang, Kwang-Ting Cheng:
CAE-GReaT: Convolutional-Auxiliary Efficient Graph Reasoning Transformer for Dense Image Predictions. Int. J. Comput. Vis. 132(5): 1502-1520 (2024) - [j153]Shuhan Li, Dong Zhang, Xiaomeng Li, Chubin Ou, Lin An, Yanwu Xu, Weihua Yang, Yanchun Zhang, Kwang-Ting Cheng:
Vessel-promoted OCT to OCTA image translation by heuristic contextual constraints. Medical Image Anal. 98: 103311 (2024) - [j152]Xiayu Guo, Xian Lin, Xin Yang, Li Yu, Kwang-Ting Cheng, Zengqiang Yan:
UCTNet: Uncertainty-guided CNN-Transformer hybrid networks for medical image segmentation. Pattern Recognit. 152: 110491 (2024) - [j151]Jiajun Zhou, Jiajun Wu, Yizhao Gao, Yuhao Ding, Chaofan Tao, Boyu Li, Fengbin Tu, Kwang-Ting Cheng, Hayden Kwok-Hay So, Ngai Wong:
DyBit: Dynamic Bit-Precision Numbers for Efficient Quantized Neural Network Inference. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(5): 1613-1617 (2024) - [j150]Yi Lin, Yanfei Liu, Hao Chen, Xin Yang, Kai Ma, Yefeng Zheng, Kwang-Ting Cheng:
LENAS: Learning-Based Neural Architecture Search and Ensemble for 3-D Radiotherapy Dose Prediction. IEEE Trans. Cybern. 54(10): 5795-5805 (2024) - [j149]Junjie Shi, Li Yu, Qimin Cheng, Xin Yang, Kwang-Ting Cheng, Zengqiang Yan:
MFTrans: Modality-Masked Fusion Transformer for Incomplete Multi-Modality Brain Tumor Segmentation. IEEE J. Biomed. Health Informatics 28(1): 379-390 (2024) - [j148]Yi Lin, Zeyu Wang, Dong Zhang, Kwang-Ting Cheng, Hao Chen:
BoNuS: Boundary Mining for Nuclei Segmentation With Partial Point Labels. IEEE Trans. Medical Imaging 43(6): 2137-2147 (2024) - [j147]Huimin Wu, Xiaomeng Li, Kwang-Ting Cheng:
Exploring Feature Representation Learning for Semi-Supervised Medical Image Segmentation. IEEE Trans. Neural Networks Learn. Syst. 35(11): 16589-16601 (2024) - [j146]Xiaofeng Hou, Xuehan Tang, Jiacheng Liu, Chao Li, Luhong Liang, Kwang-Ting Cheng:
WASP: Efficient Power Management Enabling Workload-Aware, Self-Powered AIoT Devices. IEEE Trans. Parallel Distributed Syst. 35(8): 1400-1414 (2024) - [c384]Zhehao Wang, Xian Lin, Nannan Wu, Li Yu, Kwang-Ting Cheng, Zengqiang Yan:
DTMFormer: Dynamic Token Merging for Boosting Transformer-Based Medical Image Segmentation. AAAI 2024: 5814-5822 - [c383]Chi-Hsuan Wu, Shih-Yang Liu, Xijie Huang, Xingbo Wang, Rong Zhang, Luca Minciullo, Wong Kai Yiu, Kenny Kwan, Kwang-Ting Cheng:
CMOSE: Comprehensive Multi-Modality Online Student Engagement Dataset with High-Quality Labels. CVPR Workshops 2024: 4636-4645 - [c382]Yucong Huang, Jingyu He, Kwang-Ting (Tim) Cheng, Chi-Ying Tsui, Terry Tao Ye:
RWriC: A Dynamic Writing Scheme for Variation Compensation for RRAM-based In-Memory Computing. DAC 2024: 63:1-63:6 - [c381]Pingcheng Dong, Yonghao Tan, Dong Zhang, Tianwei Ni, Xuejiao Liu, Yu Liu, Peng Luo, Luhong Liang, Shih-Yang Liu, Xijie Huang, Huaiyu Zhu, Yun Pan, Fengwei An, Kwang-Ting Cheng:
Genetic Quantization-Aware Approximation for Non-Linear Operations in Transformers. DAC 2024: 220:1-220:6 - [c380]Jingyu He, Fengbin Tu, Kwang-Ting Cheng, Chi-Ying Tsui:
AdaP-CIM: Compute-in-Memory Based Neural Network Accelerator Using Adaptive Posit. DATE 2024: 1-2 - [c379]Xijie Huang, Zechun Liu, Shih-Yang Liu, Kwang-Ting Cheng:
RoLoRA: Fine-tuning Rotated Outlier-free LLMs for Effective Weight-Activation Quantization. EMNLP (Findings) 2024: 7563-7576 - [c378]Xijie Huang, Li Lyna Zhang, Kwang-Ting Cheng, Fan Yang, Mao Yang:
Fewer is More: Boosting Math Reasoning with Reinforced Context Pruning. EMNLP 2024: 13674-13695 - [c377]Shih-Yang Liu, Chien-Yi Wang, Hongxu Yin, Pavlo Molchanov, Yu-Chiang Frank Wang, Kwang-Ting Cheng, Min-Hung Chen:
DoRA: Weight-Decomposed Low-Rank Adaptation. ICML 2024 - [c376]Xiaofeng Hou, Tongqiao Xu, Chao Li, Cheng Xu, Jiacheng Liu, Yang Hu, Jieru Zhao, Jingwen Leng, Kwang-Ting Cheng, Minyi Guo:
A Tale of Two Domains: Exploring Efficient Architecture Design for Truly Autonomous Things. ISCA 2024: 167-181 - [c375]Fengshi Tian, Jiakun Zheng, Jingyu He, Jinbo Chen, Xiaomeng Wang, Chaoming Fang, Jie Yang, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting Cheng:
BOLS: A Bionic Sensor-direct On-chip Learning System with Direct-Feedback-Through-Time for Personalized Wearable Health Monitoring. ISCAS 2024: 1-5 - [c374]Xiao Fang, Yi Lin, Dong Zhang, Kwang-Ting Cheng, Hao Chen:
Aligning Medical Images with General Knowledge from Large Language Models. MICCAI (10) 2024: 57-67 - [c373]Shuhan Li, Yi Lin, Hao Chen, Kwang-Ting Cheng:
Iterative Online Image Synthesis via Diffusion Model for Imbalanced Classification. MICCAI (5) 2024: 371-381 - [c372]Yangyang Xiang, Nannan Wu, Li Yu, Xin Yang, Kwang-Ting Cheng, Zengqiang Yan:
FedIA: Federated Medical Image Segmentation with Heterogeneous Annotation Completeness. MICCAI (10) 2024: 373-382 - [c371]Zhaobin Sun, Nannan Wu, Junjie Shi, Li Yu, Kwang-Ting Cheng, Zengqiang Yan:
FedMLP: Federated Multi-label Medical Image Classification Under Task Heterogeneity. MICCAI (10) 2024: 394-404 - [c370]Yi Gu, Yi Lin, Kwang-Ting Cheng, Hao Chen:
Revisiting Deep Ensemble Uncertainty for Enhanced Medical Anomaly Detection. MICCAI (6) 2024: 520-530 - [c369]Yu Cai, Hao Chen, Kwang-Ting Cheng:
Rethinking Autoencoders for Medical Anomaly Detection from A Theoretical Perspective. MICCAI (11) 2024: 544-554 - [c368]Maolin Wang, Ian McInerney, Bartolomeo Stellato, Fengbin Tu, Stephen P. Boyd, Hayden Kwok-Hay So, Kwang-Ting Cheng:
Multi-Issue Butterfly Architecture for Sparse Convex Quadratic Programming. MICRO 2024: 1574-1587 - [i94]Yi Lin, Zeyu Wang, Dong Zhang, Kwang-Ting Cheng, Hao Chen:
BoNuS: Boundary Mining for Nuclei Segmentation with Partial Point Labels. CoRR abs/2401.07437 (2024) - [i93]Dong Zhang, Pingcheng Dong, Xinting Hu, Long Chen, Kwang-Ting Cheng:
Boundary and Relation Distillation for Semantic Segmentation. CoRR abs/2401.13174 (2024) - [i92]Shih-Yang Liu, Chien-Yi Wang, Hongxu Yin, Pavlo Molchanov, Yu-Chiang Frank Wang, Kwang-Ting Cheng, Min-Hung Chen:
DoRA: Weight-Decomposed Low-Rank Adaptation. CoRR abs/2402.09353 (2024) - [i91]Shuhan Li, Yi Lin, Hao Chen, Kwang-Ting Cheng:
Iterative Online Image Synthesis via Diffusion Model for Imbalanced Classification. CoRR abs/2403.08407 (2024) - [i90]Yu Cai, Hao Chen, Kwang-Ting Cheng:
Rethinking Autoencoders for Medical Anomaly Detection from A Theoretical Perspective. CoRR abs/2403.09303 (2024) - [i89]Yi Lin, Zhengjie Zhu, Kwang-Ting Cheng, Hao Chen:
Prompt-Guided Adaptive Model Transformation for Whole Slide Image Classification. CoRR abs/2403.12537 (2024) - [i88]Xian Lin, Yangyang Xiang, Zhehao Wang, Kwang-Ting Cheng, Zengqiang Yan, Li Yu:
SAMCT: Segment Any CT Allowing Labor-Free Task-Indicator Prompts. CoRR abs/2403.13258 (2024) - [i87]Pingcheng Dong, Yonghao Tan, Dong Zhang, Tianwei Ni, Xuejiao Liu, Yu Liu, Peng Luo, Luhong Liang, Shih-Yang Liu, Xijie Huang, Huaiyu Zhu, Yun Pan, Fengwei An, Kwang-Ting Cheng:
Genetic Quantization-Aware Approximation for Non-Linear Operations in Transformers. CoRR abs/2403.19591 (2024) - [i86]Yu Cai, Weiwen Zhang, Hao Chen, Kwang-Ting Cheng:
MedIAnomaly: A comparative study of anomaly detection in medical images. CoRR abs/2404.04518 (2024) - [i85]Jichang Yang, Hegan Chen, Jia Chen, Songqi Wang, Shaocong Wang, Yifei Yu, Xi Chen, Bo Wang, Xinyuan Zhang, Binbin Cui, Yi Li, Ning Lin, Meng Xu, Yi Li, Xiaoxin Xu, Xiaojuan Qi, Zhongrui Wang, Xumeng Zhang, Dashan Shang, Han Wang, Qi Liu, Kwang-Ting Cheng, Ming Liu:
Resistive Memory-based Neural Differential Equation Solver for Score-based Diffusion Model. CoRR abs/2404.05648 (2024) - [i84]Yifei Yu, Shaocong Wang, Woyu Zhang, Xinyuan Zhang, Xiuzhe Wu, Yangu He, Jichang Yang, Yue Zhang, Ning Lin, Bo Wang, Xi Chen, Songqi Wang, Xumeng Zhang, Xiaojuan Qi, Zhongrui Wang, Dashan Shang, Qi Liu, Kwang-Ting Cheng, Ming Liu:
Efficient and accurate neural field reconstruction using resistive memory. CoRR abs/2404.09613 (2024) - [i83]Hegan Chen, Jichang Yang, Jia Chen, Songqi Wang, Shaocong Wang, Dingchen Wang, Xinyu Tian, Yifei Yu, Xi Chen, Yinan Lin, Yangu He, Xiaoshan Wu, Yi Li, Xinyuan Zhang, Ning Lin, Meng Xu, Yi Li, Xumeng Zhang, Zhongrui Wang, Han Wang, Dashan Shang, Qi Liu, Kwang-Ting Cheng, Ming Liu:
Continuous-Time Digital Twin with Analogue Memristive Neural Ordinary Differential Equation Solver. CoRR abs/2406.08343 (2024) - [i82]Zhaobin Sun, Nannan Wu, Junjie Shi, Li Yu, Xin Yang, Kwang-Ting Cheng, Zengqiang Yan:
FedMLP: Federated Multi-Label Medical Image Classification under Task Heterogeneity. CoRR abs/2406.18995 (2024) - [i81]Yangyang Xiang, Nannan Wu, Li Yu, Xin Yang, Kwang-Ting Cheng, Zengqiang Yan:
FedIA: Federated Medical Image Segmentation with Heterogeneous Annotation Completeness. CoRR abs/2407.02280 (2024) - [i80]Xijie Huang, Zechun Liu, Shih-Yang Liu, Kwang-Ting Cheng:
RoLoRA: Fine-tuning Rotated Outlier-free LLMs for Effective Weight-Activation Quantization. CoRR abs/2407.08044 (2024) - [i79]Yue Zhang, Woyu Zhang, Shaocong Wang, Ning Lin, Yifei Yu, Yangu He, Bo Wang, Hao Jiang, Peng Lin, Xiaoxin Xu, Xiaojuan Qi, Zhongrui Wang, Xumeng Zhang, Dashan Shang, Qi Liu, Kwang-Ting Cheng, Ming Liu:
Dynamic neural network with memristive CIM and CAM for 2D and 3D vision. CoRR abs/2407.08990 (2024) - [i78]Jeffry Wicaksana, Zengqiang Yan, Kwang-Ting Cheng:
Non-parametric regularization for class imbalance federated medical image classification. CoRR abs/2407.12446 (2024) - [i77]Jiabo Ma, Zhengrui Guo, Fengtao Zhou, Yihui Wang, Yingxue Xu, Yu Cai, Zhengjie Zhu, Cheng Jin, Yi Lin, Xinrui Jiang, Anjia Han, Li Liang, Ronald Cheong Kin Chan, Jiguang Wang, Kwang-Ting Cheng, Hao Chen:
Towards A Generalizable Pathology Foundation Model via Unified Knowledge Distillation. CoRR abs/2407.18449 (2024) - [i76]Xiao Fang, Yi Lin, Dong Zhang, Kwang-Ting Cheng, Hao Chen:
Aligning Medical Images with General Knowledge from Large Language Models. CoRR abs/2409.00341 (2024) - [i75]Xixi Jiang, Dong Zhang, Xiang Li, Kangyi Liu, Kwang-Ting Cheng, Xin Yang:
Labeled-to-Unlabeled Distribution Alignment for Partially-Supervised Multi-Organ Medical Image Segmentation. CoRR abs/2409.03228 (2024) - [i74]Yi Gu, Yi Lin, Kwang-Ting Cheng, Hao Chen:
Revisiting Deep Ensemble Uncertainty for Enhanced Medical Anomaly Detection. CoRR abs/2409.17485 (2024) - [i73]Shih-Yang Liu, Huck Yang, Chein-Yi Wang, Nai Chit Fung, Hongxu Yin, Charbel Sakr, Saurav Muralidharan, Kwang-Ting Cheng, Jan Kautz, Yu-Chiang Frank Wang, Pavlo Molchanov, Min-Hung Chen:
EoRA: Training-free Compensation for Compressed LLM with Eigenspace Low-Rank Approximation. CoRR abs/2410.21271 (2024) - 2023
- [j145]Yu Cai, Hao Chen, Xin Yang, Yu Zhou, Kwang-Ting Cheng:
Dual-distribution discrepancy with self-supervised refinement for anomaly detection in medical images. Medical Image Anal. 86: 102794 (2023) - [j144]Yi Lin, Zhiyong Qu, Hao Chen, Zhongke Gao, Yuexiang Li, Lili Xia, Kai Ma, Yefeng Zheng, Kwang-Ting Cheng:
Nuclei segmentation with point annotations from pathology images via self-supervised learning and co-training. Medical Image Anal. 89: 102933 (2023) - [j143]Shaocong Wang, Yi Li, Dingchen Wang, Woyu Zhang, Xi Chen, Danian Dong, Songqi Wang, Xumeng Zhang, Peng Lin, Claudio Gallicchio, Xiaoxin Xu, Qi Liu, Kwang-Ting Cheng, Zhongrui Wang, Dashan Shang, Ming Liu:
Echo state graph neural networks with analogue random resistive memory arrays. Nat. Mac. Intell. 5(2): 104-113 (2023) - [j142]Xianghong Hu, Xuejiao Liu, Yu Liu, Haowei Zhang, Xijie Huang, Xihao Guan, Luhong Liang, Chi-Ying Tsui, Xiaoming Xiong, Kwang-Ting Cheng:
A Tiny Accelerator for Mixed-Bit Sparse CNN Based on Efficient Fetch Method of SIMO SPad. IEEE Trans. Circuits Syst. II Express Briefs 70(8): 3079-3083 (2023) - [j141]Xian Lin, Li Yu, Kwang-Ting Cheng, Zengqiang Yan:
BATFormer: Towards Boundary-Aware Lightweight Transformer for Efficient Medical Image Segmentation. IEEE J. Biomed. Health Informatics 27(7): 3501-3512 (2023) - [j140]Weihang Dai, Xiaomeng Li, Xinpeng Ding, Kwang-Ting Cheng:
Cyclical Self-Supervision for Semi-Supervised Ejection Fraction Prediction From Echocardiogram Videos. IEEE Trans. Medical Imaging 42(5): 1446-1461 (2023) - [j139]Jeffry Wicaksana, Zengqiang Yan, Dong Zhang, Xijie Huang, Huimin Wu, Xin Yang, Kwang-Ting Cheng:
FedMix: Mixed Supervised Federated Learning for Medical Image Segmentation. IEEE Trans. Medical Imaging 42(7): 1955-1968 (2023) - [j138]Xian Lin, Li Yu, Kwang-Ting Cheng, Zengqiang Yan:
The Lighter the Better: Rethinking Transformers in Medical Image Segmentation Through Adaptive Pruning. IEEE Trans. Medical Imaging 42(8): 2325-2337 (2023) - [j137]Huimin Wu, Xiaomeng Li, Yiqun Lin, Kwang-Ting Cheng:
Compete to Win: Enhancing Pseudo Labels for Barely-Supervised Medical Image Segmentation. IEEE Trans. Medical Imaging 42(11): 3244-3255 (2023) - [j136]Yuanjie Dang, Chong Huang, Peng Chen, Ronghua Liang, Xin Yang, Kwang-Ting Cheng:
Path-Analysis-Based Reinforcement Learning Algorithm for Imitation Filming. IEEE Trans. Multim. 25: 2812-2824 (2023) - [c367]Weihang Dai, Xiaomeng Li, Kwang-Ting Cheng:
Semi-Supervised Deep Regression with Uncertainty Consistency and Variational Model Ensembling via Bayesian Neural Networks. AAAI 2023: 7304-7313 - [c366]Fengshi Tian, Xiaomeng Wang, Jinbo Chen, Jie Yang, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting (Tim) Cheng:
Binary is All You Need: Ultra-Efficient Arrhythmia Detection with a Binary-Only Compressive System. AICAS 2023: 1-5 - [c365]Jingyu He, Yucong Huang, Miguel Angel Lastras-Montaño, Terry Tao Ye, Chi-Ying Tsui, Kwang-Ting Cheng:
RVComp: Analog Variation Compensation for RRAM-Based in-Memory Computing. ASP-DAC 2023: 246-251 - [c364]Fengshi Tian, Shiqi Zhao, Jingyu He, Jinbo Chen, Xiaomeng Wang, Jie Yang, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting (Tim) Cheng:
NOLS: A Near-sensor On-chip Learning System with Direct Feedback Alignment for Personalized Wearable Heart Health Monitoring. BioCAS 2023: 1-5 - [c363]Jia Chen, Fengbin Tu, Kunming Shao, Fengshi Tian, Xiao Huo, Chi-Ying Tsui, Kwang-Ting Cheng:
AutoDCIM: An Automated Digital CIM Compiler. DAC 2023: 1-6 - [c362]Yu Zhu, Zhenhua Zhu, Guohao Dai, Fengbin Tu, Hanbo Sun, Kwang-Ting Cheng, Huazhong Yang, Yu Wang:
PIM-HLS: An Automatic Hardware Generation Tool for Heterogeneous Processing-In-Memory-based Neural Network Accelerators. DAC 2023: 1-6 - [c361]Yue Yu, Sophia Yi, Xi Nan, Leo Yu-Ho Lo, Kento Shigyo, Liwenhan Xie, Jeffry Wicaksana, Kwang-Ting Cheng, Huamin Qu:
FoodWise: Food Waste Reduction and Behavior Change on Campus with Data Visualization and Gamification. COMPASS 2023: 76-83 - [c360]Shih-Yang Liu, Zechun Liu, Xijie Huang, Pingcheng Dong, Kwang-Ting Cheng:
LLM-FP4: 4-Bit Floating-Point Quantized Transformers. EMNLP 2023: 592-605 - [c359]Fengshi Tian, Xiaomeng Wang, Jinbo Chen, Jiakun Zheng, Hui Wu, Xuejiao Liu, Fengbin Tu, Jie Yang, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting (Tim) Cheng:
BIOS: A 40nm Bionic Sensor-defined 0.47pJ/SOP, 268.7TSOPs/W Configurable Spiking Neuron-in-Memory Processor for Wearable Healthcare. ESSCIRC 2023: 225-228 - [c358]Pingcheng Dong, Zhuoyu Chen, Ke Li, Lei Chen, Kwang-Ting Cheng, Fengwei An:
A 1920×1080 129fps 4.3pJ/pixel Stereo-Matching Processor for Pico Aerial Vehicles. ESSCIRC 2023: 345-348 - [c357]Xiaofeng Hou, Jiacheng Liu, Xuehan Tang, Chao Li, Kwang-Ting Cheng, Li Li, Minyi Guo:
MMExit: Enabling Fast and Efficient Multi-modal DNN Inference with Adaptive Network Exits. Euro-Par 2023: 426-440 - [c356]Huimin Wu, Chenyang Lei, Xiao Sun, Peng-Shuai Wang, Qifeng Chen, Kwang-Ting Cheng, Stephen Lin, Zhirong Wu:
Randomized Quantization: A Generic Augmentation for Data Agnostic Self-supervised Learning. ICCV 2023: 16259-16270 - [c355]Shih-Yang Liu, Zechun Liu, Kwang-Ting Cheng:
Oscillation-free Quantization for Low-bit Vision Transformers. ICML 2023: 21813-21824 - [c354]Cheng Xu, Xiaofeng Hou, Jiacheng Liu, Chao Li, Tianhao Huang, Xiaozhi Zhu, Mo Niu, Lingyu Sun, Peng Tang, Tongqiao Xu, Kwang-Ting Cheng, Minyi Guo:
MMBench: Benchmarking End-to-End Multi-modal DNNs and Understanding Their Hardware-Software Implications. IISWC 2023: 154-166 - [c353]Nannan Wu, Li Yu, Xuefeng Jiang, Kwang-Ting Cheng, Zengqiang Yan:
FedNoRo: Towards Noise-Robust Federated Learning by Addressing Class Imbalance and Label Noise Heterogeneity. IJCAI 2023: 4424-4432 - [c352]Yi Lin, Dong Zhang, Xiao Fang, Yufan Chen, Kwang-Ting Cheng, Hao Chen:
Rethinking Boundary Detection in Deep Learning Models for Medical Image Segmentation. IPMI 2023: 730-742 - [c351]Xiaofeng Hou, Jiacheng Liu, Xuehan Tang, Chao Li, Jia Chen, Luhong Liang, Kwang-Ting Cheng, Minyi Guo:
Architecting Efficient Multi-modal AIoT Systems. ISCA 2023: 31:1-31:13 - [c350]Weihang Dai, Xiaomeng Li, Taihui Yu, Di Zhao, Jun Shen, Kwang-Ting Cheng:
Radiomics-Informed Deep Learning for Classification of Atrial Fibrillation Sub-Types from Left-Atrium CT Volumes. MICCAI (7) 2023: 153-162 - [c349]Yi Lin, Yufan Chen, Kwang-Ting Cheng, Hao Chen:
Few Shot Medical Image Segmentation with Cross Attention Transformer. MICCAI (2) 2023: 233-243 - [c348]Nannan Wu, Li Yu, Xin Yang, Kwang-Ting Cheng, Zengqiang Yan:
FedIIC: Towards Robust Federated Learning for Class-Imbalanced Medical Image Classification. MICCAI (2) 2023: 692-702 - [c347]Weihang Dai, Yao Du, Hanru Bai, Kwang-Ting Cheng, Xiaomeng Li:
Semi-Supervised Contrastive Learning for Deep Regression with Ordinal Rankings from Spectral Seriation. NeurIPS 2023 - [c346]Xiaofeng Hou, Peng Tang, Chao Li, Jiacheng Liu, Cheng Xu, Kwang-Ting Cheng, Minyi Guo:
SMG: A System-Level Modality Gating Facility for Fast and Energy-Efficient Multimodal Computing. RTSS 2023: 291-303 - [i72]Shih-Yang Liu, Zechun Liu, Kwang-Ting Cheng:
Oscillation-free Quantization for Low-bit Vision Transformers. CoRR abs/2302.02210 (2023) - [i71]Weihang Dai, Xiaomeng Li, Kwang-Ting Cheng:
Semi-Supervised Deep Regression with Uncertainty Consistency and Variational Model Ensembling via Bayesian Neural Networks. CoRR abs/2302.07579 (2023) - [i70]Jiajun Zhou, Jiajun Wu, Yizhao Gao, Yuhao Ding, Chaofan Tao, Boyu Li, Fengbin Tu, Kwang-Ting Cheng, Hayden Kwok-Hay So, Ngai Wong:
DyBit: Dynamic Bit-Precision Numbers for Efficient Quantized Neural Network Inference. CoRR abs/2302.12510 (2023) - [i69]Shuhan Li, Dong Zhang, Xiaomeng Li, Chubin Ou, Lin An, Yanwu Xu, Kwang-Ting Cheng:
Vessel-Promoted OCT to OCTA Image Translation by Heuristic Contextual Constraints. CoRR abs/2303.06807 (2023) - [i68]Yi Lin, Xiao Fang, Dong Zhang, Kwang-Ting Cheng, Hao Chen:
A Permutable Hybrid Network for Volumetric Medical Image Segmentation. CoRR abs/2303.13111 (2023) - [i67]Yi Lin, Zhongchen Zhao, Zhengjie Zhu, Lisheng Wang, Kwang-Ting Cheng, Hao Chen:
Exploring Visual Prompts for Whole Slide Image Classification with Multiple Instance Learning. CoRR abs/2303.13122 (2023) - [i66]Yi Lin, Yufan Chen, Kwang-Ting Cheng, Hao Chen:
Few Shot Medical Image Segmentation with Cross Attention Transformer. CoRR abs/2303.13867 (2023) - [i65]Luyang Luo, Xi Wang, Yi Lin, Xiaoqi Ma, Andong Tan, Ronald C. K. Chan, Varut Vardhanabhuti, Winnie CW Chu, Kwang-Ting Cheng, Hao Chen:
Deep Learning in Breast Cancer Imaging: A Decade of Progress and Future Directions. CoRR abs/2304.06662 (2023) - [i64]Huimin Wu, Xiaomeng Li, Yiqun Lin, Kwang-Ting Cheng:
Compete to Win: Enhancing Pseudo Labels for Barely-supervised Medical Image Segmentation. CoRR abs/2304.07519 (2023) - [i63]Yi Lin, Dong Zhang, Xiao Fang, Yufan Chen, Kwang-Ting Cheng, Hao Chen:
Rethinking Boundary Detection in Deep Learning Models for Medical Image Segmentation. CoRR abs/2305.00678 (2023) - [i62]Jeffry Wicaksana, Zengqiang Yan, Kwang-Ting Cheng:
FCA: Taming Long-tailed Federated Medical Image Classification by Classifier Anchoring. CoRR abs/2305.00738 (2023) - [i61]Nannan Wu, Li Yu, Xuefeng Jiang, Kwang-Ting Cheng, Zengqiang Yan:
FedNoRo: Towards Noise-Robust Federated Learning by Addressing Class Imbalance and Label Noise Heterogeneity. CoRR abs/2305.05230 (2023) - [i60]Xijie Huang, Zechun Liu, Shih-Yang Liu, Kwang-Ting Cheng:
Efficient Quantization-aware Training with Adaptive Coreset Selection. CoRR abs/2306.07215 (2023) - [i59]Xijie Huang, Zhiqiang Shen, Kwang-Ting Cheng:
Variation-aware Vision Transformer Quantization. CoRR abs/2307.00331 (2023) - [i58]Xiaomeng Wang, Fengshi Tian, Xizi Chen, Jiakun Zheng, Xuejiao Liu, Fengbin Tu, Jie Yang, Mohamad Sawan, Kwang-Ting Cheng, Chi-Ying Tsui:
A 137.5 TOPS/W SRAM Compute-in-Memory Macro with 9-b Memory Cell-Embedded ADCs and Signal Margin Enhancement Techniques for AI Edge Applications. CoRR abs/2307.05944 (2023) - [i57]Yue Yu, Sophia Yi, Xi Nan, Leo Yu-Ho Lo, Kento Shigyo, Liwenhan Xie, Jeffry Wicaksana, Kwang-Ting Cheng, Huamin Qu:
FoodWise: Food Waste Reduction and Behavior Change on Campus with Data Visualization and Gamification. CoRR abs/2307.12882 (2023) - [i56]Weihang Dai, Xiaomeng Li, Taihui Yu, Di Zhao, Jun Shen, Kwang-Ting Cheng:
Radiomics-Informed Deep Learning for Classification of Atrial Fibrillation Sub-Types from Left-Atrium CT Volumes. CoRR abs/2308.06933 (2023) - [i55]Xin Yang, Yi Lin, Zhiwei Wang, Xin Li, Kwang-Ting Cheng:
Bi-Modality Medical Image Synthesis Using Semi-Supervised Sequential Generative Adversarial Networks. CoRR abs/2308.14066 (2023) - [i54]Shih-Yang Liu, Zechun Liu, Xijie Huang, Pingcheng Dong, Kwang-Ting Cheng:
LLM-FP4: 4-Bit Floating-Point Quantized Transformers. CoRR abs/2310.16836 (2023) - [i53]Yi Li, Songqi Wang, Yaping Zhao, Shaocong Wang, Woyu Zhang, Yangu He, Ning Lin, Binbin Cui, Xi Chen, Shiming Zhang, Hao Jiang, Peng Lin, Xumeng Zhang, Xiaojuan Qi, Zhongrui Wang, Xiaoxin Xu, Dashan Shang, Qi Liu, Kwang-Ting Cheng, Ming Liu:
Pruning random resistive memory for optimizing analogue AI. CoRR abs/2311.07164 (2023) - [i52]Jiayi Chen, Benteng Ma, Hengfei Cui, Yong Xia, Kwang-Ting Cheng:
Think Twice Before Selection: Federated Evidential Active Learning for Medical Image Analysis with Domain Shifts. CoRR abs/2312.02567 (2023) - [i51]Xijie Huang, Li Lyna Zhang, Kwang-Ting Cheng, Mao Yang:
Boosting LLM Reasoning: Push the Limits of Few-shot Learning with Reinforced In-Context Pruning. CoRR abs/2312.08901 (2023) - [i50]Chi-Hsuan Wu, Shih-Yang Liu, Xijie Huang, Xingbo Wang, Rong Zhang, Luca Minciullo, Wong Kai Yiu, Kenny Kwan, Kwang-Ting Cheng:
CMOSE: Comprehensive Multi-Modality Online Student Engagement Dataset with High-Quality Labels. CoRR abs/2312.09066 (2023) - [i49]Shaocong Wang, Yizhao Gao, Yi Li, Woyu Zhang, Yifei Yu, Bo Wang, Ning Lin, Hegan Chen, Yue Zhang, Yang Jiang, Dingchen Wang, Jia Chen, Peng Dai, Hao Jiang, Peng Lin, Xumeng Zhang, Xiaojuan Qi, Xiaoxin Xu, Hayden K. H. So, Zhongrui Wang, Dashan Shang, Qi Liu, Kwang-Ting Cheng, Ming Liu:
Random resistive memory-based deep extreme point learning machine for unified visual processing. CoRR abs/2312.09262 (2023) - 2022
- [j135]Xiaofeng Hou, Cheng Xu, Jiacheng Liu, Xuehan Tang, Lingyu Sun, Chao Li, Kwang-Ting Cheng:
Characterizing and Understanding End-to-End Multi-Modal Neural Networks on GPUs. IEEE Comput. Archit. Lett. 21(2): 125-128 (2022) - [j134]Chong Huang, Yuanjie Dang, Peng Chen, Xin Yang, Kwang-Ting Cheng:
One-Shot Imitation Drone Filming of Human Motion Videos. IEEE Trans. Pattern Anal. Mach. Intell. 44(9): 5335-5348 (2022) - [j133]Yuanjie Dang, Chong Huang, Peng Chen, Ronghua Liang, Xin Yang, Kwang-Ting Cheng:
Imitation Learning-Based Algorithm for Drone Cinematography System. IEEE Trans. Cogn. Dev. Syst. 14(2): 403-413 (2022) - [j132]Jianwei Zheng, Yu Liu, Xuejiao Liu, Luhong Liang, Deming Chen, Kwang-Ting Cheng:
ReAAP: A Reconfigurable and Algorithm-Oriented Array Processor With Compiler-Architecture Co-Design. IEEE Trans. Computers 71(12): 3088-3100 (2022) - [j131]Cheng Liu, Cheng Chu, Dawen Xu, Ying Wang, Qianlong Wang, Huawei Li, Xiaowei Li, Kwang-Ting Cheng:
HyCA: A Hybrid Computing Architecture for Fault-Tolerant Deep Learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3400-3413 (2022) - [j130]Jeffry Wicaksana, Zengqiang Yan, Xin Yang, Yang Liu, Lixin Fan, Kwang-Ting Cheng:
Customized Federated Learning for Multi-Source Decentralized Medical Image Classification. IEEE J. Biomed. Health Informatics 26(11): 5596-5607 (2022) - [j129]Weihang Dai, Xiaomeng Li, Wan Hang Keith Chiu, Michael David Kuo, Kwang-Ting Cheng:
Adaptive Contrast for Image Regression in Computer-Aided Disease Assessment. IEEE Trans. Medical Imaging 41(5): 1255-1268 (2022) - [c345]Shichao Li, Zechun Liu, Zhiqiang Shen, Kwang-Ting Cheng:
Stereo Neural Vernier Caliper. AAAI 2022: 1376-1385 - [c344]Arnav Chavan, Zhiqiang Shen, Zhuang Liu, Zechun Liu, Kwang-Ting Cheng, Eric P. Xing:
Vision Transformer Slimming: Multi-Dimension Searching in Continuous Optimization Space. CVPR 2022: 4921-4931 - [c343]Zechun Liu, Kwang-Ting Cheng, Dong Huang, Eric P. Xing, Zhiqiang Shen:
Nonuniform-to-Uniform Quantization: Towards Accurate Quantization via Generalized Straight-Through Estimation. CVPR 2022: 4932-4942 - [c342]Zechun Liu, Zhiqiang Shen, Yun Long, Eric P. Xing, Kwang-Ting Cheng, Chas Leichner:
Data-Free Neural Architecture Search via Recursive Label Calibration. ECCV (24) 2022: 391-406 - [c341]Xijie Huang, Zhiqiang Shen, Shichao Li, Zechun Liu, Xianghong Hu, Jeffry Wicaksana, Eric P. Xing, Kwang-Ting Cheng:
SDQ: Stochastic Differentiable Quantization with Mixed Precision. ICML 2022: 9295-9309 - [c340]Yi Lin, Zeyu Wang, Kwang-Ting Cheng, Hao Chen:
InsMix: Towards Realistic Generative Data Augmentation for Nuclei Instance Segmentation. MICCAI (2) 2022: 140-149 - [c339]Yu Cai, Hao Chen, Xin Yang, Yu Zhou, Kwang-Ting Cheng:
Dual-Distribution Discrepancy for Anomaly Detection in Chest X-Rays. MICCAI (3) 2022: 584-593 - [c338]Dong Zhang, Jinhui Tang, Kwang-Ting Cheng:
Graph Reasoning Transformer for Image Parsing. ACM Multimedia 2022: 2380-2389 - [i48]Arnav Chavan, Zhiqiang Shen, Zhuang Liu, Zechun Liu, Kwang-Ting Cheng, Eric P. Xing:
Vision Transformer Slimming: Multi-Dimension Searching in Continuous Optimization Space. CoRR abs/2201.00814 (2022) - [i47]Yi Lin, Zhiyong Qu, Hao Chen, Zhongke Gao, Yuexiang Li, Lili Xia, Kai Ma, Yefeng Zheng, Kwang-Ting Cheng:
Label Propagation for Annotation-Efficient Nuclei Segmentation from Pathology Images. CoRR abs/2202.08195 (2022) - [i46]Shichao Li, Zechun Liu, Zhiqiang Shen, Kwang-Ting Cheng:
Stereo Neural Vernier Caliper. CoRR abs/2203.11018 (2022) - [i45]Jeffry Wicaksana, Zengqiang Yan, Dong Zhang, Xijie Huang, Huimin Wu, Xin Yang, Kwang-Ting Cheng:
FedMix: Mixed Supervised Federated Learning for Medical Image Segmentation. CoRR abs/2205.01840 (2022) - [i44]Yu Cai, Hao Chen, Xin Yang, Yu Zhou, Kwang-Ting Cheng:
Dual-Distribution Discrepancy for Anomaly Detection in Chest X-Rays. CoRR abs/2206.03935 (2022) - [i43]Xijie Huang, Zhiqiang Shen, Shichao Li, Zechun Liu, Xianghong Hu, Jeffry Wicaksana, Eric P. Xing, Kwang-Ting Cheng:
SDQ: Stochastic Differentiable Quantization with Mixed Precision. CoRR abs/2206.04459 (2022) - [i42]Nannan Wu, Li Yu, Xin Yang, Kwang-Ting Cheng, Zengqiang Yan:
FedRare: Federated Learning with Intra- and Inter-Client Contrast for Effective Rare Disease Classification. CoRR abs/2206.13803 (2022) - [i41]Xian Lin, Zengqiang Yan, Li Yu, Kwang-Ting Cheng:
C2FTrans: Coarse-to-Fine Transformers for Medical Image Segmentation. CoRR abs/2206.14409 (2022) - [i40]Xian Lin, Li Yu, Kwang-Ting Cheng, Zengqiang Yan:
The Lighter The Better: Rethinking Transformers in Medical Image Segmentation Through Adaptive Pruning. CoRR abs/2206.14413 (2022) - [i39]Yi Lin, Zeyu Wang, Kwang-Ting Cheng, Hao Chen:
InsMix: Towards Realistic Generative Data Augmentation for Nuclei Instance Segmentation. CoRR abs/2206.15134 (2022) - [i38]Shuhan Li, Xiaomeng Li, Xiaowei Xu, Kwang-Ting Cheng:
Sub-cluster-aware Network for Few-shot Skin Disease Classification. CoRR abs/2207.01072 (2022) - [i37]Dong Zhang, Jinhui Tang, Kwang-Ting Cheng:
Graph Reasoning Transformer for Image Parsing. CoRR abs/2209.09545 (2022) - [i36]Dong Zhang, Yi Lin, Hao Chen, Zhuotao Tian, Xin Yang, Jinhui Tang, Kwang-Ting Cheng:
Deep Learning for Medical Image Segmentation: Tricks, Challenges and Future Directions. CoRR abs/2209.10307 (2022) - [i35]Yu Cai, Hao Chen, Xin Yang, Yu Zhou, Kwang-Ting Cheng:
Dual-distribution discrepancy with self-supervised refinement for anomaly detection in medical images. CoRR abs/2210.04227 (2022) - [i34]Weihang Dai, Xiaomeng Li, Xinpeng Ding, Kwang-Ting Cheng:
Cyclical Self-Supervision for Semi-Supervised Ejection Fraction Prediction from Echocardiogram Videos. CoRR abs/2210.11291 (2022) - [i33]Xiaofeng Hou, Cheng Xu, Jiacheng Liu, Xuehan Tang, Linyu Sun, Chao Li, Kwang-Ting Cheng:
Analyzing the Hardware-Software Implications of Multi-modal DNN Workloads using MMBench. CoRR abs/2212.01241 (2022) - [i32]Huimin Wu, Chenyang Lei, Xiao Sun, Peng-Shuai Wang, Qifeng Chen, Kwang-Ting Cheng, Stephen Lin, Zhirong Wu:
Randomized Quantization for Data Agnostic Representation Learning. CoRR abs/2212.08663 (2022) - 2021
- [j128]Zechun Liu, Xiangyu Zhang, Zhiqiang Shen, Yichen Wei, Kwang-Ting Cheng, Jian Sun:
Joint Multi-Dimension Pruning via Numerical Gradient Update. IEEE Trans. Image Process. 30: 8034-8045 (2021) - [j127]Zengqiang Yan, Jeffry Wicaksana, Zhiwei Wang, Xin Yang, Kwang-Ting Cheng:
Variation-Aware Federated Learning With Multi-Source Decentralized Medical Image Data. IEEE J. Biomed. Health Informatics 25(7): 2615-2628 (2021) - [j126]Xin Yang, Jingyu Chen, Yuanjie Dang, Hongcheng Luo, Yuesheng Tang, Chunyuan Liao, Peng Chen, Kwang-Ting Cheng:
Fast Depth Prediction and Obstacle Avoidance on a Monocular Drone Using Probabilistic Convolutional Neural Network. IEEE Trans. Intell. Transp. Syst. 22(1): 156-167 (2021) - [j125]Dawen Xu, Ziyang Zhu, Cheng Liu, Ying Wang, Shuang Zhao, Lei Zhang, Huaguo Liang, Huawei Li, Kwang-Ting Cheng:
Reliability Evaluation and Analysis of FPGA-Based Neural Network Acceleration System. IEEE Trans. Very Large Scale Integr. Syst. 29(3): 472-484 (2021) - [j124]Dawen Xu, Meng He, Cheng Liu, Ying Wang, Long Cheng, Huawei Li, Xiaowei Li, Kwang-Ting Cheng:
R2F: A Remote Retraining Framework for AIoT Processors With Computing Errors. IEEE Trans. Very Large Scale Integr. Syst. 29(11): 1955-1966 (2021) - [c337]Zhiqiang Shen, Zechun Liu, Jie Qin, Marios Savvides, Kwang-Ting Cheng:
Partial Is Better Than All: Revisiting Fine-tuning Strategy for Few-shot Learning. AAAI 2021: 9594-9602 - [c336]Shichao Li, Zengqiang Yan, Hongyang Li, Kwang-Ting Cheng:
Exploring intermediate representation for monocular vehicle pose estimation. CVPR 2021: 1873-1883 - [c335]Zhiqiang Shen, Zechun Liu, Jie Qin, Lei Huang, Kwang-Ting Cheng, Marios Savvides:
S2-BNN: Bridging the Gap Between Self-Supervised Real and 1-Bit Neural Networks via Guided Distribution Calibration. CVPR 2021: 2165-2174 - [c334]Yuyang Wang, Kwang-Ting Cheng:
Traffic-Adaptive Power Reconfiguration for Energy-Efficient and Energy-Proportional Optical Interconnects. ICCAD 2021: 1-9 - [c333]Zhiqiang Shen, Zechun Liu, Dejia Xu, Zitian Chen, Kwang-Ting Cheng, Marios Savvides:
Is Label Smoothing Truly Incompatible with Knowledge Distillation: An Empirical Study. ICLR 2021 - [c332]Zechun Liu, Zhiqiang Shen, Shichao Li, Koen Helwegen, Dong Huang, Kwang-Ting Cheng:
How Do Adam and Training Strategies Help BNNs Optimization. ICML 2021: 6936-6946 - [c331]Junlin Xian, Zhiwei Wang, Kwang-Ting Cheng, Xin Yang:
Towards Robust Dual-View Transformation via Densifying Sparse Supervision for Mammography Lesion Matching. MICCAI (5) 2021: 355-365 - [i31]Zhiqiang Shen, Zechun Liu, Jie Qin, Marios Savvides, Kwang-Ting Cheng:
Partial Is Better Than All: Revisiting Fine-tuning Strategy for Few-shot Learning. CoRR abs/2102.03983 (2021) - [i30]Zhiqiang Shen, Zechun Liu, Jie Qin, Lei Huang, Kwang-Ting Cheng, Marios Savvides:
S2-BNN: Bridging the Gap Between Self-Supervised Real and 1-bit Neural Networks via Guided Distribution Calibration. CoRR abs/2102.08946 (2021) - [i29]Zhiqiang Shen, Zechun Liu, Dejia Xu, Zitian Chen, Kwang-Ting Cheng, Marios Savvides:
Is Label Smoothing Truly Incompatible with Knowledge Distillation: An Empirical Study. CoRR abs/2104.00676 (2021) - [i28]Dawen Xu, Qianlong Wang, Cheng Liu, Cheng Chu, Ying Wang, Huawei Li, Xiaowei Li, Kwang-Ting Cheng:
HyCA: A Hybrid Computing Architecture for Fault Tolerant Deep Learning. CoRR abs/2106.04772 (2021) - [i27]Zechun Liu, Zhiqiang Shen, Shichao Li, Koen Helwegen, Dong Huang, Kwang-Ting Cheng:
How Do Adam and Training Strategies Help BNNs Optimization? CoRR abs/2106.11309 (2021) - [i26]Dawen Xu, Cheng Chu, Cheng Liu, Ying Wang, Huawei Li, Xiaowei Li, Kwang-Ting Cheng:
Energy-Efficient Accelerator Design for Deformable Convolution Networks. CoRR abs/2107.02547 (2021) - [i25]Dawen Xu, Meng He, Cheng Liu, Ying Wang, Long Cheng, Huawei Li, Xiaowei Li, Kwang-Ting Cheng:
R2F: A Remote Retraining Framework for AIoT Processors with Computing Errors. CoRR abs/2107.03096 (2021) - [i24]Yi Lin, Jianchao Su, Xiang Wang, Xiang Li, Jingen Liu, Kwang-Ting Cheng, Xin Yang:
Automated Pulmonary Embolism Detection from CTPA Images Using an End-to-End Convolutional Neural Network. CoRR abs/2111.05506 (2021) - [i23]Huimin Wu, Xiaomeng Li, Kwang-Ting Cheng:
Exploring Feature Representation Learning for Semi-supervised Medical Image Segmentation. CoRR abs/2111.10989 (2021) - [i22]Shichao Li, Kwang-Ting Cheng:
Joint stereo 3D object detection and implicit surface reconstruction. CoRR abs/2111.12924 (2021) - [i21]Zechun Liu, Kwang-Ting Cheng, Dong Huang, Eric P. Xing, Zhiqiang Shen:
Nonuniform-to-Uniform Quantization: Towards Accurate Quantization via Generalized Straight-Through Estimation. CoRR abs/2111.14826 (2021) - [i20]Zechun Liu, Zhiqiang Shen, Yun Long, Eric P. Xing, Kwang-Ting Cheng, Chas Leichner:
Data-Free Neural Architecture Search via Recursive Label Calibration. CoRR abs/2112.02086 (2021) - [i19]Xijie Huang, Jeffry Wicaksana, Shichao Li, Kwang-Ting Cheng:
Automated Vision-Based Wellness Analysis for Elderly Care Centers. CoRR abs/2112.10381 (2021) - [i18]Weihang Dai, Xiaomeng Li, Wan Hang Keith Chiu, Michael David Kuo, Kwang-Ting Cheng:
Adaptive Contrast for Image Regression in Computer-Aided Disease Assessment. CoRR abs/2112.11700 (2021) - [i17]Shaocong Wang, Yi Li, Dingchen Wang, Woyu Zhang, Xi Chen, Danian Dong, Songqi Wang, Xumeng Zhang, Peng Lin, Claudio Gallicchio, Xiaoxin Xu, Qi Liu, Kwang-Ting Cheng, Zhongrui Wang, Dashan Shang, Ming Liu:
Echo state graph neural networks with analogue random resistor arrays. CoRR abs/2112.15270 (2021) - 2020
- [j123]Zechun Liu, Wenhan Luo, Baoyuan Wu, Xin Yang, Wei Liu, Kwang-Ting Cheng:
Bi-Real Net: Binarizing Deep Network Towards Real-Network Performance. Int. J. Comput. Vis. 128(1): 202-219 (2020) - [j122]Zhiwei Wang, Yi Lin, Kwang-Ting (Tim) Cheng, Xin Yang:
Semi-supervised mp-MRI data synthesis with StitchLayer and auxiliary distance maximization. Medical Image Anal. 59 (2020) - [j121]Xin Yang, Yi Lin, Zhiwei Wang, Xin Li, Kwang-Ting Cheng:
Bi-Modality Medical Image Synthesis Using Semi-Supervised Sequential Generative Adversarial Networks. IEEE J. Biomed. Health Informatics 24(3): 855-865 (2020) - [j120]Zengqiang Yan, Xin Yang, Kwang-Ting Cheng:
Enabling a Single Deep Learning Model for Accurate Gland Instance Segmentation: A Shape-Aware Adversarial Learning Framework. IEEE Trans. Medical Imaging 39(6): 2176-2189 (2020) - [j119]Zhiwei Wang, Xixi Jiang, Jingen Liu, Kwang-Ting Cheng, Xin Yang:
Multi-Task Siamese Network for Retinal Artery/Vein Separation via Deep Convolution Along Vessel. IEEE Trans. Medical Imaging 39(9): 2904-2919 (2020) - [c330]Dawen Xu, Ziyang Zhu, Cheng Liu, Ying Wang, Huawei Li, Lei Zhang, Kwang-Ting Cheng:
Persistent Fault Analysis of Neural Networks on FPGA-based Acceleration System. ASAP 2020: 85-92 - [c329]Shichao Li, Lei Ke, Kevin Pratama, Yu-Wing Tai, Chi-Keung Tang, Kwang-Ting Cheng:
Cascaded Deep Monocular 3D Human Pose Estimation With Evolutionary Training Data. CVPR 2020: 6172-6182 - [c328]Hai Phan, Zechun Liu, Dang Huynh, Marios Savvides, Kwang-Ting Cheng, Zhiqiang Shen:
Binarizing MobileNet via Evolution-Based Searching. CVPR 2020: 13417-13426 - [c327]Leilai Shao, Ting Lei, Tsung-Ching Huang, Zhenan Bao, Kwang-Ting Cheng:
Robust Design of Large Area Flexible Electronics via Compressed Sensing. DAC 2020: 1-6 - [c326]Yuyang Wang, Jared Hulme, Peng Sun, Mudit Jain, M. Ashkan Seyedi, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng:
Characterization and Applications of Spatial Variation Models for Silicon Microring-Based Optical Transceivers. DAC 2020: 1-6 - [c325]Zechun Liu, Zhiqiang Shen, Marios Savvides, Kwang-Ting Cheng:
ReActNet: Towards Precise Binary Neural Network with Generalized Activation Functions. ECCV (14) 2020: 143-159 - [c324]Dawen Xu, Cheng Chu, Qianlong Wang, Cheng Liu, Ying Wang, Lei Zhang, Huaguo Liang, Kwang-Ting Cheng:
A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators. ICCD 2020: 478-485 - [c323]Xixi Jiang, Qingqing Luo, Zhiwei Wang, Tao Mei, Yu Wen, Xin Li, Kwang-Ting Cheng, Xin Yang:
Multi-phase and Multi-level Selective Feature Fusion for Automated Pancreas Segmentation from CT Images. MICCAI (4) 2020: 460-469 - [i16]Zechun Liu, Zhiqiang Shen, Marios Savvides, Kwang-Ting Cheng:
ReActNet: Towards Precise Binary Neural Network with Generalized Activation Functions. CoRR abs/2003.03488 (2020) - [i15]Hai Phan, Zechun Liu, Dang Huynh, Marios Savvides, Kwang-Ting Cheng, Zhiqiang Shen:
Binarizing MobileNet via Evolution-based Searching. CoRR abs/2005.06305 (2020) - [i14]Zechun Liu, Xiangyu Zhang, Zhiqiang Shen, Zhe Li, Yichen Wei, Kwang-Ting Cheng, Jian Sun:
Joint Multi-Dimension Pruning. CoRR abs/2005.08931 (2020) - [i13]Shichao Li, Lei Ke, Kevin Pratama, Yu-Wing Tai, Chi-Keung Tang, Kwang-Ting Cheng:
Cascaded deep monocular 3D human pose estimation with evolutionary training data. CoRR abs/2006.07778 (2020) - [i12]Shichao Li, Zengqiang Yan, Hongyang Li, Kwang-Ting Cheng:
Exploring Intermediate Representation for Monocular Vehicle Pose Estimation. CoRR abs/2011.08464 (2020)
2010 – 2019
- 2019
- [j118]Xin Yang, Yi Lin, Jianchao Su, Xiang Wang, Xiang Li, Jingen Lin, Kwang-Ting Cheng:
A Two-Stage Convolutional Neural Network for Pulmonary Embolism Detection From CTPA Images. IEEE Access 7: 84849-84857 (2019) - [j117]Leilai Shao, Ting Lei, Tsung-Ching Huang, Sicheng Li, Ta-Ya Chu, Man Wong, Raymond G. Beausoleil, Zhenan Bao, Kwang-Ting Cheng:
Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design. IEEE Des. Test 36(4): 6-14 (2019) - [j116]Xin Yang, Hongcheng Luo, Yuhao Wu, Yang Gao, Chunyuan Liao, Kwang-Ting Cheng:
Reactive obstacle avoidance of monocular quadrotors with online adapted depth prediction network. Neurocomputing 325: 142-158 (2019) - [j115]Zengqiang Yan, Xin Yang, Kwang-Ting Cheng:
A Three-Stage Deep Learning Model for Accurate Retinal Vessel Segmentation. IEEE J. Biomed. Health Informatics 23(4): 1427-1436 (2019) - [j114]Hongcheng Luo, Yang Gao, Yuhao Wu, Chunyuan Liao, Xin Yang, Kwang-Ting Cheng:
Real-Time Dense Monocular SLAM With Online Adapted Depth Prediction Network. IEEE Trans. Multim. 21(2): 470-483 (2019) - [j113]Xin Yang, Yang Gao, Hongcheng Luo, Chunyuan Liao, Kwang-Ting Cheng:
Bayesian DeNet: Monocular Depth Prediction and Frame-Wise Fusion With Synchronized Uncertainty. IEEE Trans. Multim. 21(11): 2701-2713 (2019) - [j112]Yuanjie Dang, Peng Chen, Ronghua Liang, Chong Huang, Yuesheng Tang, Tianwei Yu, Xin Yang, Kwang-Ting Cheng:
Real-Time Semantic Plane Reconstruction on a Monocular Drone Using Sparse Fusion. IEEE Trans. Veh. Technol. 68(8): 7383-7391 (2019) - [c322]Yuyang Wang, M. Ashkan Seyedi, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng:
Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiency. ASP-DAC 2019: 370-375 - [c321]Shichao Li, Kwang-Ting Cheng:
Visualizing the Decision-making Process in Deep Neural Decision Forest. CVPR Workshops 2019: 114-117 - [c320]Chong Huang, Chuan-En Lin, Zhenyu Yang, Yan Kong, Peng Chen, Xin Yang, Kwang-Ting Cheng:
Learning to Film From Professional Human Motion Videos. CVPR 2019: 4244-4253 - [c319]Leilai Shao, Sicheng Li, Ting Lei, Tsung-Ching Huang, Raymond G. Beausoleil, Zhenan Bao, Kwang-Ting Cheng:
Ultra-thin Skin Electronics for High Quality and Continuous Skin-Sensor-Silicon Interfacing. DAC 2019: 16 - [c318]Tsung-Ching Huang, Ting Lei, Leilai Shao, Sridhar Sivapurapu, Madhavan Swaminathan, Sicheng Li, Zhenan Bao, Kwang-Ting Cheng, Raymond G. Beausoleil:
Process Design Kit and Design Automation for Flexible Hybrid Electronics. DATE 2019: 36-41 - [c317]Nicole Fern, Kwang-Ting (Tim) Cheng:
Evaluating Assertion Set Completeness to Expose Hardware Trojans and Verification Blindspots. DATE 2019: 402-407 - [c316]Yuyang Wang, Kwang-Ting Cheng:
Task Mapping-Assisted Laser Power Scaling for Optical Network-on-Chips. ICCAD 2019: 1-6 - [c315]Zechun Liu, Haoyuan Mu, Xiangyu Zhang, Zichao Guo, Xin Yang, Kwang-Ting Cheng, Jian Sun:
MetaPruning: Meta Learning for Automatic Neural Network Channel Pruning. ICCV 2019: 3295-3304 - [c314]Chong Huang, Zhenyu Yang, Yan Kong, Peng Chen, Xin Yang, Kwang-Ting (Tim) Cheng:
Learning to Capture a Film-Look Video with a Camera Drone. ICRA 2019: 1871-1877 - [c313]Yi Lin, Jianchao Su, Xiang Wang, Xiang Li, Jingen Liu, Kwang-Ting Cheng, Xin Yang:
Automated Pulmonary Embolism Detection from CTPA Images Using an End-to-End Convolutional Neural Network. MICCAI (4) 2019: 280-288 - [c312]Koen Helwegen, James Widdicombe, Lukas Geiger, Zechun Liu, Kwang-Ting Cheng, Roeland Nusselder:
Latent Weights Do Not Exist: Rethinking Binarized Neural Network Optimization. NeurIPS 2019: 7531-7542 - [c311]Tsung-Ching Huang, Ting Lei, Leilai Shao, Sridhar Sivapurapu, Madhavan Swaminathan, Sicheng Li, Zhenan Bao, Kwang-Ting Cheng, Raymond G. Beausoleil:
Process Design Kit and Design Automation for Flexible Hybrid Electronics. VLSI-DAT 2019: 1-2 - [i11]Zechun Liu, Haoyuan Mu, Xiangyu Zhang, Zichao Guo, Xin Yang, Kwang-Ting (Tim) Cheng, Jian Sun:
MetaPruning: Meta Learning for Automatic Neural Network Channel Pruning. CoRR abs/1903.10258 (2019) - [i10]Shichao Li, Kwang-Ting Cheng:
Visualizing the decision-making process in deep neural decision forest. CoRR abs/1904.09201 (2019) - [i9]Koen Helwegen, James Widdicombe, Lukas Geiger, Zechun Liu, Kwang-Ting Cheng, Roeland Nusselder:
Latent Weights Do Not Exist: Rethinking Binarized Neural Network Optimization. CoRR abs/1906.02107 (2019) - [i8]Shichao Li, Kwang-Ting Cheng:
Facial age estimation by deep residual decision making. CoRR abs/1908.10737 (2019) - [i7]Chong Huang, Yuanjie Dang, Peng Chen, Xin Yang, Kwang-Ting Cheng:
One-Shot Imitation Filming of Human Motion Videos. CoRR abs/1912.10609 (2019) - 2018
- [j111]Xin Yang, Jiabin Guo, Tangli Xue, Kwang-Ting (Tim) Cheng:
Robust and real-time pose tracking for augmented reality on mobile devices. Multim. Tools Appl. 77(6): 6607-6628 (2018) - [j110]Zengqiang Yan, Xin Yang, Kwang-Ting Cheng:
Joint Segment-Level and Pixel-Wise Losses for Deep Learning Based Retinal Vessel Segmentation. IEEE Trans. Biomed. Eng. 65(9): 1912-1923 (2018) - [j109]Zengqiang Yan, Xin Yang, Kwang-Ting Cheng:
A Skeletal Similarity Metric for Quality Evaluation of Retinal Vessel Segmentation. IEEE Trans. Medical Imaging 37(4): 1045-1057 (2018) - [j108]Zhiwei Wang, Chaoyue Liu, Danpeng Cheng, Liang Wang, Xin Yang, Kwang-Ting Cheng:
Automated Detection of Clinically Significant Prostate Cancer in mp-MRI Images Based on an End-to-End Deep Neural Network. IEEE Trans. Medical Imaging 37(5): 1127-1139 (2018) - [c310]Rui Wu, M. Ashkan Seyedi, Yuyang Wang, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng:
Pairing of microring-based silicon photonic transceivers for tuning power optimization. ASP-DAC 2018: 135-140 - [c309]Leilai Shao, Tsung-Ching Huang, Ting Lei, Zhenan Bao, Raymond G. Beausoleil, Kwang-Ting Cheng:
Process design kit for flexible hybrid electronics. ASP-DAC 2018: 651-657 - [c308]Zhiwei Wang, Yi Lin, Chunyuan Liao, Kwang-Ting Cheng, Xin Yang:
StitchAD-GAN for Synthesizing Apparent Diffusion Coefficient Images of Clinically Significant Prostate Cancer. BMVC 2018: 240 - [c307]Leilai Shao, Tsung-Ching Huang, Ting Lei, Zhenan Bao, Raymond G. Beausoleil, Kwang-Ting Cheng:
Compact modeling of carbon nanotube thin film transistors for flexible circuit design. DATE 2018: 491-496 - [c306]Yuyang Wang, M. Ashkan Seyedi, Rui Wu, Jared Hulme, Marco Fiorentino, Raymond G. Beausoleil, Kwang-Ting Cheng:
Energy-efficient channel alignment of DWDM silicon photonic transceivers. DATE 2018: 601-604 - [c305]Zechun Liu, Baoyuan Wu, Wenhan Luo, Xin Yang, Wei Liu, Kwang-Ting Cheng:
Bi-Real Net: Enhancing the Performance of 1-Bit CNNs with Improved Representational Capability and Advanced Training Algorithm. ECCV (15) 2018: 747-763 - [c304]Chong Huang, Fei Gao, Jie Pan, Zhenyu Yang, Weihao Qiu, Peng Chen, Xin Yang, Shaojie Shen, Kwang-Ting (Tim) Cheng:
ACT: An Autonomous Drone Cinematography System for Action Scenes. ICRA 2018: 7039-7046 - [c303]Chong Huang, Zhenyu Yang, Yan Kong, Peng Chen, Xin Yang, Kwang-Ting (Tim) Cheng:
Through-the-Lens Drone Filming. IROS 2018: 4692-4699 - [c302]Nicole Fern, Kwang-Ting (Tim) Cheng:
Pre-silicon Formal Verification of JTAG Instruction Opcodes for Security. ITC 2018: 1-9 - [c301]Zengqiang Yan, Xin Yang, Kwang-Ting (Tim) Cheng:
A Deep Model with Shape-Preserving Loss for Gland Instance Segmentation. MICCAI (2) 2018: 138-146 - [c300]Xin Yang, Jinyu Chen, Zhiwei Wang, Qiaozhe Zhang, Wenyu Liu, Chunyuan Liao, Kwang-Ting Cheng:
Monocular Camera Based Real-Time Dense Mapping Using Generative Adversarial Network. ACM Multimedia 2018: 896-904 - [i6]Zechun Liu, Baoyuan Wu, Wenhan Luo, Xin Yang, Wei Liu, Kwang-Ting Cheng:
Bi-Real Net: Enhancing the Performance of 1-bit CNNs With Improved Representational Capability and Advanced Training Algorithm. CoRR abs/1808.00278 (2018) - [i5]Zechun Liu, Wenhan Luo, Baoyuan Wu, Xin Yang, Wei Liu, Kwang-Ting Cheng:
Bi-Real Net: Binarizing Deep Network Towards Real-Network Performance. CoRR abs/1811.01335 (2018) - [i4]Shichao Li, Xin Yang, K. T. Tim Cheng:
Deep Hierarchical Machine: a Flexible Divide-and-Conquer Architecture. CoRR abs/1812.00647 (2018) - [i3]Zhiwei Wang, Yi Lin, Kwang-Ting Cheng, Xin Yang:
Semi-supervised mp-MRI Data Synthesis with StitchLayer and Auxiliary Distance Maximization. CoRR abs/1812.06625 (2018) - 2017
- [j107]Alfonso Martínez-Cruz, Ricardo Barrón Fernández, Herón Molina-Lozano, Marco Antonio Ramírez Salinas, Luis Alfonso Villa Vargas, Prometeo Cortés-Antonio, Kwang-Ting (Tim) Cheng:
An Automatic Functional Coverage for Digital Systems Through a Binary Particle Swarm Optimization Algorithm with a Reinitialization Mechanism. J. Electron. Test. 33(4): 431-447 (2017) - [j106]Xin Yang, Chaoyue Liu, Zhiwei Wang, Jun Yang, Hung Le Minh, Liang Wang, Kwang-Ting (Tim) Cheng:
Co-trained convolutional neural networks for automated detection of prostate cancer in multi-parametric MRI. Medical Image Anal. 42: 212-227 (2017) - [j105]Nicole Fern, Ismail San, Çetin Kaya Koç, Kwang-Ting (Tim) Cheng:
Hiding Hardware Trojan Communication Channels in Partially Specified SoC Bus Functionality. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(9): 1435-1444 (2017) - [c299]K. T. Tim Cheng:
ASP-DAC 2017 keynote speech I-1: Heterogeneous integration of X-tronics: Design automation and education. ASP-DAC 2017: 2 - [c298]Fan Lin, Kwang-Ting Cheng:
An artificial neural network approach for screening test escapes. ASP-DAC 2017: 414-419 - [c297]Nicole Fern, Ismail San, Kwang-Ting (Tim) Cheng:
Detecting hardware Trojans in unspecified functionality through solving satisfiability problems. ASP-DAC 2017: 598-504 - [c296]Fan Lan, Rui Wu, Chong Zhang, Yun Pan, Kwang-Ting (Tim) Cheng:
DLPS: Dynamic laser power scaling for optical Network-on-Chip. ASP-DAC 2017: 726-731 - [c295]Rui Wu, Yuyang Wang, Zeyu Zhang, Chong Zhang, Clint L. Schow, John E. Bowers, Kwang-Ting Cheng:
Compact modeling and circuit-level simulation of silicon nanophotonic interconnects. DATE 2017: 602-605 - [c294]Miguel Angel Lastras-Montaño, Bhaswar Chakrabarti, Dmitri B. Strukov, Kwang-Ting Cheng:
3D-DPE: A 3D high-bandwidth dot-product engine for high-performance neuromorphic computing. DATE 2017: 1257-1260 - [c293]Nicole Fern, Kwang-Ting Cheng:
Mining mutation testing simulation traces for security and testbench debugging. ICCAD 2017: 714-721 - [c292]Chong Huang, Peng Chen, Xin Yang, Kwang-Ting (Tim) Cheng:
REDBEE: A visual-inertial drone system for real-time moving object detection. IROS 2017: 1725-1731 - [c291]Tsung-Ching Huang, Leilai Shao, Ting Lei, Raymond G. Beausoleil, Zhenan Bao, Kwang-Ting Cheng:
Robust design and design automation for flexible hybrid electronics. ISCAS 2017: 1-4 - [c290]Xin Yang, Zhiwei Wang, Chaoyue Liu, Hung Le Minh, Jingyu Chen, Kwang-Ting (Tim) Cheng, Liang Wang:
Joint Detection and Diagnosis of Prostate Cancer in Multi-parametric MRI Based on Multimodal Convolutional Neural Networks. MICCAI (3) 2017: 426-434 - [i2]Chong Huang, Qiong Liu, Yan-Ying Chen, Kwang-Ting Cheng:
Local Feature Descriptor Learning with Adaptive Siamese Network. CoRR abs/1706.05358 (2017) - [i1]Chong Huang, Peng Chen, Xin Yang, Kwang-Ting Cheng:
REDBEE: A Visual-Inertial Drone System for Real-Time Moving Object Detection. CoRR abs/1712.09162 (2017) - 2016
- [j104]Amirali Ghofrani, Abbas Rahimi, Miguel Angel Lastras-Montaño, Luca Benini, Rajesh K. Gupta, Kwang-Ting Cheng:
Associative Memristive Memory for Approximate Computing in GPUs. IEEE J. Emerg. Sel. Topics Circuits Syst. 6(2): 222-234 (2016) - [j103]Xin Yang, Hung Le Minh, Kwang-Ting (Tim) Cheng, Kyung Hyun Sung, Wenyu Liu:
Renal compartment segmentation in DCE-MRI images. Medical Image Anal. 32: 269-280 (2016) - [j102]Fan Lan, Yun Pan, Kwang-Ting (Tim) Cheng:
An Efficient Network-on-Chip Yield Estimation Approach Based on Gibbs Sampling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(3): 447-457 (2016) - [c289]Nicole Fern, Ismail San, Çetin Kaya Koç, Kwang-Ting Cheng:
Hardware Trojans in incompletely specified on-chip bus systems. DATE 2016: 527-530 - [c288]Yizhou Zhong, Yun Pan, Ling Zhang, Kwang-Ting Cheng:
A wearable signal acquisition system for physiological signs including throat PPG. EMBC 2016: 603-606 - [c287]Ismail San, Nicole Fern, Çetin Kaya Koç, Kwang-Ting Cheng:
Trojans modifying soft-processor instruction sequences embedded in FPGA bitstreams. FPL 2016: 1-4 - [c286]Miguel Angel Lastras-Montaño, Amirali Ghofrani, Kwang-Ting Cheng:
A low-power hybrid reconfigurable architecture for resistive random-access memories. HPCA 2016: 102-113 - [c285]Tsung-Ching Huang, Kwang-Ting Cheng, Raymond G. Beausoleil:
Process-variation tolerant flexible circuit for wearable electronics. ISCAS 2016: 353-356 - [c284]Amirali Ghofrani, Miguel Angel Lastras-Montaño, Yuyang Wang, Kwang-Ting Cheng:
In-place Repair for Resistive Memories Utilizing Complementary Resistive Switches. ISLPED 2016: 350-355 - [c283]Chun-Kai Hsu, Peter Sarson, Gregor Schatzberger, Friedrich Peter Leisenberger, John M. Carulli Jr., Siddhartha Siddhartha, Kwang-Ting Cheng:
Variation and failure characterization through pattern classification of test data from multiple test stages. ITC 2016: 1-10 - [c282]Xin Yang, Xinggang Wang, Kwang-Ting (Tim) Cheng:
OGB: A Distinctive and Efficient Feature for Mobile Augmented Reality. MMM (1) 2016: 478-492 - [c281]Tsung-Ching Huang, Kwang-Ting Cheng, Raymond G. Beausoleil:
Printed circuits on flexible substrates: opportunities and challenges (invited paper). NOCS 2016: 1-4 - [c280]Chong Huang, Xin Yang, Kwang-Ting (Tim) Cheng:
Accurate and efficient pulse measurement from facial videos on smartphones. WACV 2016: 1-8 - 2015
- [j101]Tsung-Ching Jim Huang, Jiun-Lang Huang, Kwang-Ting (Tim) Cheng:
Design, Automation, and Test for Low-Power and Reliable Flexible Electronics. Found. Trends Electron. Des. Autom. 9(2): 99-210 (2015) - [j100]Amirali Ghofrani, Miguel Angel Lastras-Montaño, Siddharth Gaba, Melika Payvand, Wei Lu, Luke Theogarajan, Kwang-Ting Cheng:
A Low-Power Variation-Aware Adaptive Write Scheme for Access-Transistor-Free Memristive Memory. ACM J. Emerg. Technol. Comput. Syst. 12(1): 3:1-3:18 (2015) - [c279]Amirali Ghofrani, Miguel Angel Lastras-Montaño, Kwang-Ting Cheng:
Toward large-scale access-transistor-free memristive crossbars. ASP-DAC 2015: 563-568 - [c278]Nicole Lesperance, Shrikant Kulkarni, Kwang-Ting Cheng:
Hardware Trojan detection using exhaustive testing of k-bit subspaces. ASP-DAC 2015: 755-760 - [c277]Miguel Angel Lastras-Montaño, Amirali Ghofrani, Kwang-Ting Cheng:
HReRAM: a hybrid reconfigurable resistive random-access memory. DATE 2015: 1299-1304 - [c276]Abbas Rahimi, Amirali Ghofrani, Kwang-Ting Cheng, Luca Benini, Rajesh K. Gupta:
Approximate associative memristive memory for energy-efficient GPUs. DATE 2015: 1497-1502 - [c275]Fangjian Chen, Yun Pan, Ke Li, Kwang-Ting Cheng, Ruohong Huan:
Standard 12-lead ECG synthesis using a GA optimized BP neural network. ICACI 2015: 289-293 - [c274]Fan Lin, Chun-Kai Hsu, Alberto Giovanni Busetto, Kwang-Ting Cheng:
Pairwise Proximity-Based Features for Test Escape Screening. ICCAD 2015: 300-306 - [c273]Rui Wu, Chin-Hui Chen, Cheng Li, Tsung-Ching Huang, Fan Lan, Chong Zhang, Yun Pan, John E. Bowers, Raymond G. Beausoleil, Kwang-Ting Cheng:
Variation-Aware Adaptive Tuning for Nanophotonic Interconnects. ICCAD 2015: 487-493 - [c272]Nicole Fern, Kwang-Ting (Tim) Cheng:
Detecting Hardware Trojans in Unspecified Functionality Using Mutation Testing. ICCAD 2015: 560-566 - [c271]Melika Payvand, Advait Madhavan, Miguel Angel Lastras-Montaño, Amirali Ghofrani, Justin Rofeh, Kwang-Ting Cheng, Dmitri B. Strukov, Luke Theogarajan:
A configurable CMOS memory platform for 3D-integrated memristors. ISCAS 2015: 1378-1381 - [c270]Xin Yang, Xun Si, Tangli Xue, Kwang-Ting (Tim) Cheng:
Fusion of Vision and Inertial Sensing for Accurate and Efficient Pose Tracking on Smartphones. ISMAR 2015: 68-71 - [c269]Nicole Fern, Shrikant Kulkarni, Kwang-Ting (Tim) Cheng:
Hardware Trojans hidden in RTL don't cares - Automated insertion and prevention methodologies. ITC 2015: 1-8 - [c268]Fan Lin, Chun-Kai Hsu, Kwang-Ting Cheng:
AdaTest: An efficient statistical test framework for test escape screening. ITC 2015: 1-8 - [c267]Xin Yang, Hung Le Minh, Kwang-Ting (Tim) Cheng, Kyung Hyun Sung, Wenyu Liu:
Automatic Segmentation of Renal Compartments in DCE-MRI Images. MICCAI (1) 2015: 3-11 - [c266]Xin Yang, Xun Si, Tangli Xue, Liheng Zhang, Kwang-Ting (Tim) Cheng:
Vision-Inertial Hybrid Tracking for Robust and Efficient Augmented Reality on Smartphones. ACM Multimedia 2015: 1039-1042 - [c265]Tsung-Ching Huang, Cheng Li, Rui Wu, Chin-Hui Chen, Marco Fiorentino, Kwang-Ting Cheng, Samuel Palermo, Raymond G. Beausoleil:
DWDM nanophotonic interconnects: toward terabit/s chip-scale serial link. MWSCAS 2015: 1-4 - [c264]Miguel Angel Lastras-Montaño, Amirali Ghofrani, Kwang-Ting Cheng:
Architecting energy efficient crossbar-based memristive random-access memories. NANOARCH 2015: 1-6 - [c263]Shuangchen Li, Ping Chi, Jishen Zhao, Kwang-Ting Cheng, Yuan Xie:
Leveraging nonvolatility for architecture design with emerging NVM. NVMSA 2015: 1-5 - [c262]Rui Wu, Chin-Hui Chen, Jean-Marc Fedeli, Maryse Fournier, Raymond G. Beausoleil, Kwang-Ting Cheng:
Compact modeling and system implications of microring modulators in nanophotonic interconnects. SLIP 2015: 1-6 - [p3]Xin Yang, K. T. Tim Cheng:
Mobile Image Search: Challenges and Methods. Mobile Cloud Visual Media Computing 2015: 243-262 - 2014
- [j99]Xin Yang, Kwang-Ting (Tim) Cheng:
Local Difference Binary for Ultrafast and Distinctive Feature Description. IEEE Trans. Pattern Anal. Mach. Intell. 36(1): 188-194 (2014) - [j98]Xin Yang, Kwang-Ting Cheng:
Learning Optimized Local Difference Binaries for Scalable Augmented Reality on Mobile Devices. IEEE Trans. Vis. Comput. Graph. 20(6): 852-865 (2014) - [j97]Dawen Xu, Huawei Li, Amirali Ghofrani, Kwang-Ting Cheng, Yinhe Han, Xiaowei Li:
Test-Quality Optimization for Variable $n$ -Detections of Transition Faults. IEEE Trans. Very Large Scale Integr. Syst. 22(8): 1738-1749 (2014) - [j96]Dong Xiang, Wenjie Sui, Boxue Yin, Kwang-Ting Cheng:
Compact Test Generation With an Influence Input Measure for Launch-On-Capture Transition Fault Testing. IEEE Trans. Very Large Scale Integr. Syst. 22(9): 1968-1979 (2014) - [c261]Xin Yang, Kwang-Ting (Tim) Cheng, Aichi Chien:
Accurate Vessel Segmentation with Progressive Contrast Enhancement and Canny Refinement. ACCV (3) 2014: 1-16 - [c260]Fan Lin, Chun-Kai Hsu, Kwang-Ting Cheng:
Learning from Production Test Data: Correlation Exploration and Feature Engineering. ATS 2014: 236-241 - [c259]Abbas Rahimi, Amirali Ghofrani, Miguel Angel Lastras-Montaño, Kwang-Ting Cheng, Luca Benini, Rajesh K. Gupta:
Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing. DAC 2014: 195:1-195:6 - [c258]Shuangyue Zhang, Fan Lin, Chun-Kai Hsu, Kwang-Ting Cheng, Hong Wang:
Joint Virtual Probe: Joint exploration of multiple test items' spatial patterns for efficient silicon characterization and test prediction. DATE 2014: 1-6 - [c257]Xin Yang, Kwang-Ting (Tim) Cheng, Aichi Chien:
Geodesic Active Contours with Adaptive Configuration for Cerebral Vessel and Aneurysm Segmentation. ICPR 2014: 3209-3214 - [c256]Fan Lin, Chun-Kai Hsu, Kwang-Ting Cheng:
Feature engineering with canonical analysis for effective statistical tests screening test escapes. ITC 2014: 1-10 - [c255]Xin Yang, Chong Huang, Kwang-Ting (Tim) Cheng:
libLDB: a library for extracting ultrafast and distinctive binary feature description. ACM Multimedia 2014: 671-674 - 2013
- [j95]Hsiu-Ming Chang, Jiun-Lang Huang, Ding-Ming Kwai, Kwang-Ting Cheng, Cheng-Wen Wu:
Low-Cost Error Tolerance Scheme for 3-D CMOS Imagers. IEEE Trans. Very Large Scale Integr. Syst. 21(3): 465-474 (2013) - [c254]Peter Lisherness, Nicole Lesperance, Kwang-Ting (Tim) Cheng:
Mutation analysis with coverage discounting. DATE 2013: 31-34 - [c253]Amirali Ghofrani, Miguel Angel Lastras-Montaño, Kwang-Ting Cheng:
Towards data reliable crossbar-based memristive memories. ITC 2013: 1-10 - [c252]Chun-Kai Hsu, Fan Lin, Kwang-Ting Cheng, Wangyang Zhang, Xin Li, John M. Carulli, Kenneth M. Butler:
Test data analytics - Exploring spatial and test-item correlations in production test data. ITC 2013: 1-10 - [c251]Ligang Gao, Farnood Merrikh-Bayat, Fabien Alibart, Xinjie Guo, Brian D. Hoskins, Kwang-Ting Cheng, Dmitri B. Strukov:
Digital-to-analog and analog-to-digital conversion with metal oxide memristors for ultra-low power computing. NANOARCH 2013: 19-22 - 2012
- [j94]Yan Zheng, Peter Lisherness, Ming Gao, Jock Bovington, Kwang-Ting Cheng, Hong Wang, Shiyuan Yang:
Power-Efficient Calibration and Reconfiguration for Optical Network-on-Chip. JOCN 4(12): 955-966 (2012) - [c250]Alex A. T. Bui, Kwang-Ting Cheng, Jason Cong, Luminita A. Vese, Yi-Chu Wang, Bo Yuan, Yi Zou:
Platform characterization for Domain-Specific Computing. ASP-DAC 2012: 94-99 - [c249]Peter Lisherness, Kwang-Ting Cheng:
Improving validation coverage metrics to account for limited observability. ASP-DAC 2012: 292-297 - [c248]Yan Zheng, Peter Lisherness, Saeed Shamshiri, Amirali Ghofrani, Shiyuan Yang, Kwang-Ting Cheng:
Post-fabrication reconfiguration for power-optimized tuning of optically connected multi-core systems. ASP-DAC 2012: 615-620 - [c247]Ming Gao, Peter Lisherness, Kwang-Ting Cheng, Jing-Jia Liou:
On error modeling of electrical bugs for post-silicon timing validation. ASP-DAC 2012: 701-706 - [c246]Yan Zheng, Peter Lisherness, Ming Gao, Jock Bovington, Shiyuan Yang, Kwang-Ting Cheng:
Power-efficient calibration and reconfiguration for on-chip optical communication. DATE 2012: 1501-1506 - [c245]Xin Yang, Kwang-Ting Cheng:
LDB: An ultra-fast feature for scalable Augmented Reality on mobile devices. ISMAR 2012: 49-57 - [c244]Kwang-Ting (Tim) Cheng, Dmitri B. Strukov:
3D CMOS-memristor hybrid circuits: devices, integration, architecture, and applications. ISPD 2012: 33-40 - [c243]Ming Gao, Peter Lisherness, Kwang-Ting (Tim) Cheng:
Adaptive test selection for post-silicon timing validation: A data mining approach. ITC 2012: 1-7 - [c242]Xin Yang, Kwang-Ting (Tim) Cheng:
Accelerating SURF detector on mobile devices. ACM Multimedia 2012: 569-578 - [c241]Yi-Chu Wang, Kwang-Ting (Tim) Cheng:
Energy and Performance Characterization of Mobile Heterogeneous Computing. SiPS 2012: 312-317 - [c240]Amirali Ghofrani, Ritesh Parikh, Saeed Shamshiri, Andrew DeOrio, Kwang-Ting Cheng, Valeria Bertacco:
Comprehensive online defect diagnosis in on-chip networks. VTS 2012: 44-49 - 2011
- [j93]Jiun-Lang Huang, Kwang-Ting (Tim) Cheng:
A Promising Alternative to Conventional Silicon. IEEE Des. Test Comput. 28(6): 6 (2011) - [j92]Tsung-Ching Huang, Jiun-Lang Huang, Kwang-Ting (Tim) Cheng:
Robust Circuit Design for Flexible Electronics. IEEE Des. Test Comput. 28(6): 8-15 (2011) - [j91]Saeed Shamshiri, Kwang-Ting (Tim) Cheng:
Modeling Yield, Cost, and Quality of a Spare-Enhanced Multicore Chip. IEEE Trans. Computers 60(9): 1246-1259 (2011) - [j90]Ming Gao, Hsiu-Ming Chang, Peter Lisherness, Kwang-Ting (Tim) Cheng:
Time-Multiplexed Online Checking. IEEE Trans. Computers 60(9): 1300-1312 (2011) - [j89]Hsiu-Ming Chang, Kuan-Yu Lin, Kwang-Ting (Tim) Cheng:
Tester-Assisted Calibration and Screening for Digitally-Calibrated ADCs. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(12): 2838-2848 (2011) - [j88]Mei-Chen Yeh, Kwang-Ting Cheng:
Fast Visual Retrieval Using Accelerated Sequence Matching. IEEE Trans. Multim. 13(2): 320-329 (2011) - [c239]Ming Gao, Peter Lisherness, Kwang-Ting (Tim) Cheng:
Post-silicon bug detection for variation induced electrical bugs. ASP-DAC 2011: 273-278 - [c238]Yi-Chu Wang, Kwang-Ting Cheng:
Energy-optimized mapping of application to smartphone platform - A case study of mobile face recognition. CVPR Workshops 2011: 84-89 - [c237]Hsiu-Ming Chang, Kwang-Ting (Tim) Cheng:
Image quality aware metrics for performance specification of ADC array in 3D CMOS imagers. DAC 2011: 759-764 - [c236]Ping-Ying Wang, Hsiu-Ming Chang, Kwang-Ting Cheng:
An all-digital built-in self-test technique for transfer function characterization of RF PLLs. DATE 2011: 359-364 - [c235]Peter Lisherness, Kwang-Ting (Tim) Cheng:
Coverage discounting: A generalized approach for testbench qualification. HLDVT 2011: 49-56 - [c234]Hsiu-Ming Chang, Kwang-Ting Cheng, Wangyang Zhang, Xin Li, Kenneth M. Butler:
Test cost reduction through performance prediction using virtual probe. ITC 2011: 1-9 - [c233]Saeed Shamshiri, Amirali Ghofrani, Kwang-Ting Cheng:
End-to-end error correction and online diagnosis for on-chip networks. ITC 2011: 1-10 - [c232]Xin Yang, Qiong Liu, Chunyuan Liao, Kwang-Ting Cheng, Andreas Girgensohn:
Large-scale EMM identification based on geometry-constrained visual word correspondence voting. ICMR 2011: 35 - [c231]Xin Yang, Chunyuan Liao, Qiong Liu, Kwang-Ting Cheng:
Minimum correspondence sets for improving large-scale augmented paper. VRCAI 2011: 59-68 - 2010
- [b1]Dongwoo Hong, Kwang-Ting Cheng:
Efficient Test Methodologies for High-Speed Serial Links. Lecture Notes in Electrical Engineering 51, Springer 2010, ISBN 978-90-481-3442-7, pp. 1-90 [contents] - [j87]Hsiu-Ming Chang, Kuan-Yu Lin, Kwang-Ting (Tim) Cheng:
Calibration and Test Time Reduction Techniques for Digitally-Calibrated Designs: an ADC Case Study. J. Electron. Test. 26(1): 59-71 (2010) - [j86]Kwang-Ting (Tim) Cheng, Hsiu-Ming (Sherman) Chang:
Recent Advances in Analog, Mixed-Signal, and RF Testing. Inf. Media Technol. 5(2): 338-365 (2010) - [j85]Kwang-Ting (Tim) Cheng, Hsiu-Ming (Sherman) Chang:
Recent Advances in Analog, Mixed-Signal, and RF Testing. IPSJ Trans. Syst. LSI Des. Methodol. 3: 19-46 (2010) - [c230]Xin Yang, Sydney Pang, K. T. Tim Cheng:
Mobile image search with multimodal context-aware queries. CVPR Workshops 2010: 25-32 - [c229]Peter Lisherness, Kwang-Ting (Tim) Cheng:
SCEMIT: a systemc error and mutation injection tool. DAC 2010: 228-233 - [c228]Hsiu-Ming Chang, Jiun-Lang Huang, Ding-Ming Kwai, Kwang-Ting (Tim) Cheng, Cheng-Wen Wu:
An error tolerance scheme for 3D CMOS imagers. DAC 2010: 917-922 - [c227]Tsung-Ching Huang, Kenjiro Fukuda, Chun-Ming Lo, Yung-Hui Yeh, Tsuyoshi Sekitani, Takao Someya, Kwang-Ting Cheng:
Pseudo-CMOS: A novel design style for flexible electronics. DATE 2010: 154-159 - [c226]Chun-Ming Lo, Tsung-Ching Huang, Cheng-Yi Chiang, Johnson Hou, Kwang-Ting Cheng:
A portable multi-pitch e-drum based on printed flexible pressure sensors. DATE 2010: 1082-1087 - [c225]Mohamed Abbas, Kwang-Ting Cheng, Yasuo Furukawa, Satoshi Komatsu, Kunihiro Asada:
An automatic test generation framework for digitally-assisted adaptive equalizers in high-speed serial links. DATE 2010: 1755-1760 - [c224]Yi-Chu Wang, Bryan Donyanavard, Kwang-Ting (Tim) Cheng:
Energy-Aware Real-Time Face Recognition System on Mobile CPU-GPU Platform. ECCV Workshops (1) 2010: 411-422 - [c223]Ming Gao, Kwang-Ting Cheng:
A case study of Time-Multiplexed Assertion Checking for post-silicon debugging. HLDVT 2010: 90-96 - [c222]Saeed Shamshiri, Kwang-Ting Cheng:
Error-locality-aware linear coding to correct multi-bit upsets in SRAMs. ITC 2010: 202-211 - [c221]Huawei Li, Dawen Xu, Yinhe Han, Kwang-Ting Cheng, Xiaowei Li:
nGFSIM : A GPU-based fault simulator for 1-to-n detection and its applications. ITC 2010: 343-352 - [c220]Shujun Deng, Kwang-Ting Cheng, Jinian Bian, Zhiqiu Kong:
Mutation-based diagnostic test generation for hardware design error diagnosis. ITC 2010: 815 - [c219]Yi-Chu Wang, Sydney Pang, Kwang-Ting Cheng:
A GPU-accelerated face annotation system for smartphones. ACM Multimedia 2010: 1667-1668 - [c218]Kwang-Ting Cheng:
Innovative practices session 2C: Design, fabrication and test of flexible electronics. VTS 2010: 81 - [c217]Kwang-Ting Cheng, Tsung-Ching Huang:
Design, analysis, and test of low-power and reliable flexible electronics. VTS 2010: 82 - [c216]Saeed Shamshiri, Kwang-Ting Cheng:
Modeling yield, cost, and quality of an NoC with uniformly and non-uniformly distributed redundancy. VTS 2010: 194-199 - [c215]Hsiu-Ming Chang, Kuan-Yu Lin, Kwang-Ting Cheng:
Calibration-assisted production testing for digitally-calibrated ADCs. VTS 2010: 295-300
2000 – 2009
- 2009
- [j84]Feng Lu, Kwang-Ting Cheng:
SEChecker: A Sequential Equivalence Checking Framework Based on Kth Invariants. IEEE Trans. Very Large Scale Integr. Syst. 17(6): 733-746 (2009) - [c214]Hsiu-Ming Chang, Kuan-Yu Lin, Kwang-Ting Cheng:
Calibration as a Functional Test: An ADC Case Study. Asian Test Symposium 2009: 85-86 - [c213]Ming Gao, Kwang-Ting Cheng:
Low Overhead Time-Multiplexed Online Checking: A Case Study of An H.264 Decoder. Asian Test Symposium 2009: 119-124 - [c212]Kwang-Ting Cheng, Hsiu-Ming Chang:
Test strategies for adaptive equalizers. CICC 2009: 597-604 - [c211]Mei-Chen Yeh, Kwang-Ting Cheng:
Video copy detection by fast sequence matching. CIVR 2009 - [c210]Mohamed Abbas, Kwang-Ting Cheng, Yasuo Furukawa, Satoshi Komatsu, Kunihiro Asada:
Signature-Based Testing for Digitally-Assisted Adaptive Equalizers in High-Speed Serial Links. ETS 2009: 107-112 - [c209]Peter Lisherness, Kwang-Ting Cheng:
An instrumented observability coverage method for system validation. HLDVT 2009: 88-93 - [c208]Hsiu-Ming Chang, Kuan-Yu Lin, Chin-Hsuan Chen, Kwang-Ting Cheng:
A Built-in self-calibration scheme for pipelined ADCs. ISQED 2009: 266-271 - [c207]Xin Yang, Qiang Zhu, Kwang-Ting Cheng:
Near-duplicate detection for images and videos. LS-MMRM@ACM Multimedia 2009: 73-80 - [c206]Mei-Chen Yeh, Kwang-Ting Cheng:
A compact, effective descriptor for video copy detection. ACM Multimedia 2009: 633-636 - [c205]Xin Yang, Qiang Zhu, Kwang-Ting Cheng:
MyFinder: near-duplicate detection for large image collections. ACM Multimedia 2009: 1013-1014 - [c204]Saeed Shamshiri, Kwang-Ting Cheng:
Yield and Cost Analysis of a Reliable NoC. VTS 2009: 173-178 - [c203]Dong Xiang, Boxue Yin, Kwang-Ting Cheng:
Dynamic Test Compaction for Transition Faults in Broadside Scan Testing Based on an Influence Cone Measure. VTS 2009: 251-256 - [c202]Hsiu-Ming Chang, Chin-Hsuan Chen, Kuan-Yu Lin, Kwang-Ting Cheng:
Calibration and Testing Time Reduction Techniques for a Digitally-Calibrated Pipelined ADC. VTS 2009: 291-296 - 2008
- [j83]Kwang-Ting (Tim) Cheng:
From the EIC. IEEE Des. Test Comput. 25(1): 4 (2008) - [j82]Kwang-Ting (Tim) Cheng:
Test compression saves bits, cycles, and money. IEEE Des. Test Comput. 25(2): 105 (2008) - [j81]Kwang-Ting (Tim) Cheng:
Effective silicon debug is key for time to money. IEEE Des. Test Comput. 25(3): 204 (2008) - [j80]Kwang-Ting (Tim) Cheng:
Not just research as usual. IEEE Des. Test Comput. 25(4): 292 (2008) - [j79]Kwang-Ting (Tim) Cheng:
Design and test for reliability and efficiency. IEEE Des. Test Comput. 25(6): 508 (2008) - [j78]Tsung-Ching Huang, Kwang-Ting (Tim) Cheng, Huai-Yuan Tseng, Chen-Pang Kung:
Reliability analysis for flexible electronics: Case study of integrated a-Si: H TFT scan driver. ACM J. Emerg. Technol. Comput. Syst. 4(3): 12:1-12:23 (2008) - [j77]Chee-Kian Ong, Dongwoo Hong, Kwang-Ting Cheng, Li-C. Wang:
A Clock-Less Jitter Spectral Analysis Technique. IEEE Trans. Circuits Syst. I Regul. Pap. 55-I(8): 2263-2272 (2008) - [c201]Dongwoo Hong, Kwang-Ting Cheng:
Accurate Bit-Error-Rate estimation for efficient high speed I/O testing. APCCAS 2008: 1572-1575 - [c200]Hsiu-Ming (Sherman) Chang, Min-Sheng (Mitchell) Lin, Kwang-Ting (Tim) Cheng:
Digitally-Assisted Analog/RF Testing for Mixed-Signal SoCs. ATS 2008: 43-48 - [c199]Ming Gao, Hsiu-Ming (Sherman) Chang, Peter Lisherness, Kwang-Ting (Tim) Cheng:
Time-Multiplexed Online Checking: A Feasibility Study. ATS 2008: 371-376 - [c198]Saeed Mirzaeian, Feijun (Frank) Zheng, Kwang-Ting (Tim) Cheng:
RTL Error Diagnosis Using a Word-Level SAT-Solver. ITC 2008: 1-8 - [c197]Saeed Shamshiri, Peter Lisherness, Sung-Jui (Song-Ra) Pan, Kwang-Ting Cheng:
A Cost Analysis Framework for Multi-core Systems with Spares. ITC 2008: 1-8 - [c196]Mei-Chen Yeh, Kwang-Ting Cheng:
A string matching approach for visual retrieval and classification. Multimedia Information Retrieval 2008: 52-58 - [c195]Shih-Wei Chu, Mei-Chen Yeh, Kwang-Ting Cheng:
A real-time, embedded face-annotation system. ACM Multimedia 2008: 989-990 - [c194]Dongwoo Hong, Kwang-Ting (Tim) Cheng:
Bit-Error Rate Estimation for Bang-Bang Clock and Data Recovery Circuit in High-Speed Serial Links. VTS 2008: 17-22 - [p2]Laung-Terng Wang, Charles E. Stroud, Kwang-Ting (Tim) Cheng:
Logic Testing. Wiley Encyclopedia of Computer Science and Engineering 2008 - 2007
- [j76]Kwang-Ting (Tim) Cheng:
Moore's law meets the life sciences. IEEE Des. Test Comput. 24(1): 4 (2007) - [j75]Kwang-Ting (Tim) Cheng:
Cocktail approach to functional verification. IEEE Des. Test Comput. 24(2): 108 (2007) - [j74]Kwang-Ting (Tim) Cheng:
Supporting cost-effective innovation. IEEE Des. Test Comput. 24(3): 212 (2007) - [j73]Kwang-Ting (Tim) Cheng:
Design and CAD for Nanotechnologies. IEEE Des. Test Comput. 24(4): 300 (2007) - [j72]Kwang-Ting (Tim) Cheng:
Combining synchronous and asynchronous timing schemes for high-performance systems. IEEE Des. Test Comput. 24(5): 412 (2007) - [j71]Kwang-Ting (Tim) Cheng:
Trustworthy ICs for secure embedded computing. IEEE Des. Test Comput. 24(6): 516 (2007) - [j70]Yung-Chieh Lin, Feng Lu, Kwang-Ting Cheng:
Multiple-Fault Diagnosis Based On Adaptive Diagnostic Test Pattern Generation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(5): 932-942 (2007) - [j69]Kai Yang, Kwang-Ting Cheng:
Silicon Debug for Timing Errors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(11): 2084-2088 (2007) - [c193]Dongwoo Hong, Kwang-Ting Cheng:
An Accurate Jitter Estimation Technique for Efficient High Speed I/O Testing. ATS 2007: 224-229 - [c192]Feijun Zheng, Kwang-Ting Cheng, Xiaolang Yan, John Moondanos, Ziyad Hanna:
An Efficient Diagnostic Test Pattern Generation Framework Using Boolean Satisfiability. ATS 2007: 288-294 - [c191]Tsung-Ching Huang, Huai-Yuan Tseng, Chen-Pang Kung, Kwang-Ting Cheng:
Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si: H TFT Scan Driver. DAC 2007: 966-969 - [c190]Mitchell Lin, Kwang-Ting (Tim) Cheng:
Testable design for advanced serial-link transceivers. DATE 2007: 695-700 - [c189]Dongwoo Hong, Shadi Saberi, Kwang-Ting Cheng, C. Patrick Yue:
A two-tone test method for continuous-time adaptive equalizers. DATE 2007: 1283-1288 - [c188]Sung-Jui (Song-Ra) Pan, Kwang-Ting Cheng:
A framework for system reliability analysis considering both system error tolerance and component test quality. DATE 2007: 1581-1586 - [c187]Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei:
A hybrid scheme for compacting test responses with unknown values. ICCAD 2007: 513-519 - [c186]Jin-Fu Li, Feijun (Frank) Zheng, Kwang-Ting Cheng:
Diagnosing scan chains using SAT-based diagnostic pattern generation. SoCC 2007: 273-276 - 2006
- [j68]Kwang-Ting Cheng:
New beginnings, continued success. IEEE Des. Test Comput. 23(1): 5-6 (2006) - [j67]Kwang-Ting (Tim) Cheng:
Dealing with early life failures. IEEE Des. Test Comput. 23(2): 85 (2006) - [j66]Kaushik Roy, T. M. Mak, Kwang-Ting (Tim) Cheng:
Test Consideration for Nanometer-Scale CMOS Circuits. IEEE Des. Test Comput. 23(2): 128-136 (2006) - [j65]Kwang-Ting (Tim) Cheng:
The Need for a SiP Design and Test Infrastructure. IEEE Des. Test Comput. 23(3): 181 (2006) - [j64]Kwang-Ting (Tim) Cheng:
Vision from the Top. IEEE Des. Test Comput. 23(4): 261 (2006) - [j63]Kwang-Ting (Tim) Cheng:
The New World of ESL Design. IEEE Des. Test Comput. 23(5): 333 (2006) - [j62]Kwang-Ting (Tim) Cheng:
Handling variations and uncertainties. IEEE Des. Test Comput. 23(6): 434 (2006) - [j61]Salvador Mir, Kwang-Ting (Tim) Cheng, Andrew Richardson:
Guest Editorial. J. Electron. Test. 22(4-6): 311 (2006) - [j60]Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng:
Simulation-Based Functional Test Generation for Embedded Processors. IEEE Trans. Computers 55(11): 1335-1343 (2006) - [j59]Yung-Chieh Lin, Feng Lu, Kwang-Ting Cheng:
Pseudofunctional testing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(8): 1535-1546 (2006) - [j58]Dongwoo Hong, Chee-Kian Ong, Kwang-Ting (Tim) Cheng:
Bit-Error-Rate Estimation for High-Speed Serial Links. IEEE Trans. Circuits Syst. I Regul. Pap. 53-I(12): 2616-2627 (2006) - [c185]Sung-Jui (Song-Ra) Pan, Kwang-Ting Cheng, John Moondanos, Ziyad Hanna:
Generation of shorter sequences for high resolution error diagnosis using sequential SAT. ASP-DAC 2006: 25-29 - [c184]Kai Yang, Kwang-Ting Cheng:
Efficient identification of multi-cycle false path. ASP-DAC 2006: 360-365 - [c183]Qiang Zhu, Mei-Chen Yeh, Kwang-Ting Cheng, Shai Avidan:
Fast Human Detection Using a Cascade of Histograms of Oriented Gradients. CVPR (2) 2006: 1491-1498 - [c182]Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei:
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors. DAC 2006: 1083-1088 - [c181]Kai Yang, Kwang-Ting Cheng:
Timing-reasoning-based delay fault diagnosis. DATE 2006: 418-423 - [c180]Yung-Chieh Lin, Kwang-Ting Cheng:
Multiple-fault diagnosis based on single-fault activation and single-output observation. DATE 2006: 424-429 - [c179]Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei, Kwang-Ting Cheng:
Coverage loss by using space compactors in presence of unknown values. DATE 2006: 1053-1054 - [c178]Feng Lu, Kwang-Ting Cheng:
IChecker: An Efficient Checker for Inductive Invariants. HLDVT 2006: 176-180 - [c177]Dongwoo Hong, Kwang-Ting Cheng:
Bit Error Rate Estimation for Improving Jitter Testing of High-Speed Serial Links. ITC 2006: 1-10 - [c176]Yung-Chieh Lin, Kwang-Ting Cheng:
A Unified Approach to Test Generation and Test Data Volume Reduction. ITC 2006: 1-10 - [c175]Mitchell Lin, Kwang-Ting Cheng:
Testable Design for Adaptive Linear Equalizer in High-Speed Serial Links. ITC 2006: 1-10 - [c174]Qiang Zhu, Mei-Chen Yeh, Kwang-Ting Cheng:
Multimodal fusion using learned text concepts for image categorization. ACM Multimedia 2006: 211-220 - 2005
- [j57]Tao Feng, Li-C. Wang, Kwang-Ting Cheng, Chih-Chan Lin:
Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator. ACM Trans. Design Autom. Electr. Syst. 10(4): 627-650 (2005) - [c173]Yung-Chieh Lin, Feng Lu, Kai Yang, Kwang-Ting Cheng:
Constraint extraction for pseudo-functional scan-based delay testing. ASP-DAC 2005: 166-171 - [c172]Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer:
Structural search for RTL with predicate learning. DAC 2005: 451-456 - [c171]Madhu K. Iyer, Ganapathy Parthasarathy, Kwang-Ting Cheng:
Efficient Conflict-Based Learning in an RTL Circuit Constraint Solver. DATE 2005: 666-671 - [c170]Feng Lu, Madhu K. Iyer, Ganapathy Parthasarathy, Li-C. Wang, Kwang-Ting Cheng, Kuang-Chien Chen:
An Efficient Sequential SAT Solver With Improved Search Strategies. DATE 2005: 1102-1107 - [c169]Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng:
Simulation-based functional test generation for embedded processors. HLDVT 2005: 3-10 - [c168]Feng Lu, Kwang-Ting (Tim) Cheng:
Sequential equivalence checking based on k-th invariants and circuit SAT solving. HLDVT 2005: 45-51 - [c167]Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng:
Response shaper: a novel technique to enhance unknown tolerance for output response compaction. ICCAD 2005: 80-87 - [c166]Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer:
RTL SAT simplification by Boolean and interval arithmetic reasoning. ICCAD 2005: 297-302 - [c165]Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng:
ChiYun Compact: A Novel Test Compaction Technique for Responses with Unknown Values. ICCD 2005: 147-152 - [c164]Yung-Chieh Lin, Feng Lu, Kwang-Ting Cheng:
Accurate Diagnosis of Multiple Faults. ICCD 2005: 153-156 - [c163]Qiang Zhu, Shai Avidan, Kwang-Ting Cheng:
Learning a Sparse, Corner-Based Representation for Time-varying Background Modeling. ICCV 2005: 678-685 - [c162]Ching-Tung Wu, Kwang-Ting Cheng, Qiang Zhu, Yi-Leh Wu:
Using visual features for anti-spam filtering. ICIP (3) 2005: 509-512 - [c161]Mitchell Lin, Kwang-Ting Cheng, Jimmy Hsu, M. C. Sun, Jason Chen, Shelton Lu:
Production-oriented interface testing for PCI-Express by enhanced loop-back technique. ITC 2005: 10 - [c160]Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng, Wei-Ting Liu, Ji-Jan Chen:
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology. ITC 2005: 10 - [c159]Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng, Kai Yang, Wei-Ting Liu, Ji-Jan Chen:
On A Software-Based Self-Test Methodology and Its Application. VTS 2005: 107-113 - [c158]Yung-Chieh Lin, Feng Lu, Kwang-Ting Cheng:
Pseudo-Functional Scan-based BIST for Delay Fault. VTS 2005: 229-234 - [r1]Kwang-Ting (Tim) Cheng:
Embedded Software-Based Self-Testing for SoC Design. Embedded Systems Handbook 2005 - 2004
- [j56]Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Li-C. Wang:
Safety Property Verification Using Sequential SAT and Bounded Model Checking. IEEE Des. Test Comput. 21(2): 132-143 (2004) - [j55]T. M. Mak, Angela Krstic, Kwang-Ting (Tim) Cheng, Li-C. Wang:
New Challenges in Delay Testing of Nanometer, Multigigahertz Designs. IEEE Des. Test Comput. 21(3): 241-247 (2004) - [j54]Feng Lu, Li-C. Wang, Kwang-Ting (Tim) Cheng, John Moondanos, Ziyad Hanna:
A Signal Correlation Guided Circuit-SAT Solver. J. Univers. Comput. Sci. 10(12): 1629-1654 (2004) - [j53]Ying-Tsai Chang, Kwang-Ting Cheng:
Self-referential verification for gate-level implementations of arithmetic circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(7): 1102-1112 (2004) - [j52]Li-C. Wang, Jing-Jia Liou, Kwang-Ting Cheng:
Critical path selection for delay fault testing based upon a statistical timing model. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(11): 1550-1565 (2004) - [j51]Chee-Kian Ong, Kwang-Ting Cheng, Li-C. Wang:
A new sigma-delta modulator architecture for testing using digital stimulus. IEEE Trans. Circuits Syst. I Regul. Pap. 51-I(1): 206-213 (2004) - [c157]Kai Yang, Kwang-Ting Cheng, Li-C. Wang:
TranGen: a SAT-based ATPG for path-oriented transition faults. ASP-DAC 2004: 92-97 - [c156]Chee-Kian Ong, Dongwoo Hong, Kwang-Ting Cheng, Li-C. Wang:
Jitter spectral extraction for multi-gigahertz signal. ASP-DAC 2004: 298-303 - [c155]Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Li-C. Wang:
Efficient reachability checking using sequential SAT. ASP-DAC 2004: 418-423 - [c154]Tao Feng, Li-C. Wang, Kwang-Ting Cheng:
Improved symbolic simulation by functional-space decomposition. ASP-DAC 2004: 634-639 - [c153]Hao-Chiao Hong, Cheng-Wen Wu, Kwang-Ting Cheng:
A Signa-Delta Modulation Based Analog BIST System with a Wide Bandwidth Fifth-Order Analog Response Extractor for Diagnosis Purpose. Asian Test Symposium 2004: 62-67 - [c152]Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Li-C. Wang:
An efficient finite-domain constraint solver for circuits. DAC 2004: 212-217 - [c151]Li-C. Wang, T. M. Mak, Kwang-Ting Cheng, Magdy S. Abadir:
On path-based learning and its applications in delay test and diagnosis. DAC 2004: 492-497 - [c150]Tao Feng, Li-C. Wang, Kwang-Ting Cheng, Chih-Chan Lin:
Improved Symoblic Simulation by Dynamic Funtional Space Partitioning. DATE 2004: 42-49 - [c149]Mango Chia-Tso Chao, Li-C. Wang, Kwang-Ting Cheng:
Pattern Selection for Testing of Deep Sub-Micron Timing Defects. DATE 2004: 160 - [c148]Chee-Kian Ong, Dongwoo Hong, Kwang-Ting Cheng, Li-C. Wang:
Random Jitter Extraction Technique in a Multi-Gigahertz Signal. DATE 2004: 286-291 - [c147]Qiang Zhu, Kwang-Ting Cheng, Ching-Tung Wu, Yi-Leh Wu:
Adaptive Learning of an Accurate Skin-Color Model. FGR 2004: 37-42 - [c146]Tao Feng, Li-C. Wang, Kwang-Ting Cheng, Andy Lin:
On using a 2-domain partitioned OBDD data structure in verification. HLDVT 2004: 49-54 - [c145]Rob A. Rutenbar, Li-C. Wang, Kwang-Ting Cheng, Sandip Kundu:
Static statistical timing analysis for latch-based pipeline designs. ICCAD 2004: 468-472 - [c144]Leonard Lee, Li-C. Wang, T. M. Mak, Kwang-Ting Cheng:
A path-based methodology for post-silicon timing validation. ICCAD 2004: 713-720 - [c143]Qiang Zhu, Kwang-Ting Cheng, Ching-Tung Wu:
A unified adaptive approach to accurate skin detection. ICIP 2004: 1189-1192 - [c142]Qiang Zhu, Kwang-Ting Cheng, HongJiang Zhang:
SSD tracking using dynamic template and log-polar transformation. ICME 2004: 723-726 - [c141]Dongwoo Hong, Chee-Kian Ong, Kwang-Ting (Tim) Cheng:
BER Estimation for Serial Links Based on Jitter Spectrum and Clock Recovery Characteristics. ITC 2004: 1138-1147 - [c140]Qiang Zhu, Ching-Tung Wu, Kwang-Ting Cheng, Yi-Leh Wu:
An adaptive skin model and its application to objectionable image filtering. ACM Multimedia 2004: 56-63 - [c139]Chee-Kian Ong, Dongwoo Hong, Kwang-Ting Cheng, Li-C. Wang:
A Scalable On-Chip Jitter Extraction Technique. VTS 2004: 267-272 - 2003
- [j50]Li-C. Wang, Tao Feng, Kwang-Ting (Tim) Cheng, Magdy S. Abadir, Manish Pandey:
Enhanced Symbolic Simulation for Functional Verification of Embedded Array Systems. Des. Autom. Embed. Syst. 8(2-3): 173-188 (2003) - [j49]Kenneth M. Butler, Kwang-Ting (Tim) Cheng, Li-C. Wang:
Guest Editors' Introduction: Speed Test and Speed Binning for Complex ICs. IEEE Des. Test Comput. 20(5): 6-7 (2003) - [j48]Jing-Jia Liou, Li-C. Wang, Angela Krstic, Kwang-Ting (Tim) Cheng:
Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 86-A(12): 3038-3048 (2003) - [j47]Jing-Jia Liou, Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng:
Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(6): 756-769 (2003) - [j46]Hao-Chiao Hong, Jiun-Lang Huang, Kwang-Ting Cheng, Cheng-Wen Wu, Ding-Ming Kwai:
Practical considerations in applying Σ-Δ modulation-based analog BIST to sampled-data systems. IEEE Trans. Circuits Syst. II Express Briefs 50(9): 553-566 (2003) - [c138]Tao Feng, Li-C. Wang, Kwang-Ting Cheng, Manish Pandey, Magdy S. Abadir:
Enhanced symbolic simulation for efficient verification of embedded array systems. ASP-DAC 2003: 302-307 - [c137]Chee-Kian Ong, Kwang-Ting (Tim) Cheng, Li-C. Wang:
Delta-sigma modulator based mixed-signal BIST architecture for SoC. ASP-DAC 2003: 669-674 - [c136]Jing-Jia Liou, Li-C. Wang, Angela Krstic, Kwang-Ting Cheng:
Experience in critical path selection for deep sub-micron delay test and timing validation. ASP-DAC 2003: 751-756 - [c135]Feng Lu, Li-C. Wang, Kwang-Ting Cheng, John Moondanos, Ziyad Hanna:
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases. DAC 2003: 436-441 - [c134]Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, T. M. Mak:
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. DAC 2003: 668-673 - [c133]Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, Magdy S. Abadir:
Delay Defect Diagnosis Based Upon Statistical Timing Models - The First Step. DATE 2003: 10328-10335 - [c132]Feng Lu, Li-C. Wang, Kwang-Ting Cheng, Ric C.-Y. Huang:
A Circuit SAT Solver With Signal Correlation Guided Learning. DATE 2003: 10892-10897 - [c131]Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Li-C. Wang:
A comparison of BDDs, BMC, and sequential SAT for model checking. HLDVT 2003: 157-162 - [c130]Madhu K. Iyer, Ganapathy Parthasarathy, Kwang-Ting Cheng:
SATORI - A Fast Sequential SAT Engine for Circuits. ICCAD 2003: 320-325 - [c129]Angela Krstic, Jing-Jia Liou, Kwang-Ting Cheng, Li-C. Wang:
On Structural vs. Functional Testing for Delay Faults. ISQED 2003: 438-441 - [c128]Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, T. M. Mak:
Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies. ITC 2003: 339-348 - [c127]Li-C. Wang, Angela Krstic, Leonard Lee, Kwang-Ting Cheng, M. Ray Mercer, Thomas W. Williams, Magdy S. Abadir:
Using Logic Models To Predict The Detection Behavior Of Statistical Timing Defects. ITC 2003: 1041-1050 - [c126]Kwang-Ting Cheng:
The Confluence of Manufacturing Test and Design Validation. ITC 2003: 1293 - [c125]Kaushik Roy, T. M. Mak, Kwang-Ting Cheng:
Embedded Tutorial: Test Consideration for Nanometer Scale CMOS Circuits. VTS 2003: 313-318 - [c124]Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou:
Diagnosis of Delay Defects Using Statistical Timing Models. VTS 2003: 339-344 - [p1]Wei-Cheng Lai, Edward Y. Chang, Kwang-Ting (Tim) Cheng:
An Anatomy of a Large-Scale Image Search Engine. Web Document Analysis 2003: 235-254 - 2002
- [j45]Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey:
Embedded Software-Based Self-Test for Programmable Core-Based Designs. IEEE Des. Test Comput. 19(4): 18-27 (2002) - [c123]Hao-Chiao Hong, Jiun-Lang Huang, Kwang-Ting Cheng, Cheng-Wen Wu:
On-chip Analog Response Extraction with 1-Bit ? - Modulators. Asian Test Symposium 2002: 49- - [c122]Ying-Tsai Chang, Kwang-Ting Cheng:
Self-referential verification of gate-level implementations of arithmetic circuits. DAC 2002: 311-316 - [c121]Angela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey:
Embedded software-based self-testing for SoC design. DAC 2002: 355-360 - [c120]Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams:
Enhancing test efficiency for delay fault testing using multiple-clocked schemes. DAC 2002: 371-374 - [c119]Jing-Jia Liou, Angela Krstic, Li-C. Wang, Kwang-Ting Cheng:
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation. DAC 2002: 566-569 - [c118]Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng:
On theoretical and practical considerations of path selection for delay fault testing. ICCAD 2002: 94-100 - [c117]Ganapathy Parthasarathy, Madhu K. Iyer, Tao Feng, Li-C. Wang, Kwang-Ting Cheng, Magdy S. Abadir:
Combining ATPG and Symbolic Simulation for Efficient Validation of Embedded Array Systems. ITC 2002: 203-212 - [c116]Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams:
Analysis of Delay Test Effectiveness with a Multiple-Clock Scheme. ITC 2002: 407-416 - [c115]Wei-Cheng Lai, Chengwei Chang, Edward Y. Chang, Kwang-Ting Cheng, Michael Crandell:
PBIR-MM: multimodal image retrieval and annotation. ACM Multimedia 2002: 421-422 - [c114]Yi-Leh Wu, Edward Y. Chang, Kwang-Ting Cheng, Chengwei Chang, Chen-Cha Hsu, Wei-Cheng Lai, Ching-Tung Wu:
MORF: A Distributed Multimodal Information Filtering System. IEEE Pacific Rim Conference on Multimedia 2002: 279-286 - [c113]Wei-Cheng Lai, Edward Y. Chang, Kwang-Ting Cheng:
Hybrid Learning Schemes for Multimedia Information Retrieval. IEEE Pacific Rim Conference on Multimedia 2002: 556-563 - [c112]Chee-Kian Ong, Kwang-Ting (Tim) Cheng:
Self-Testing Second-Order Delta-Sigma Modulators Using Digital Stimulus. VTS 2002: 123-128 - [c111]Madhu K. Iyer, Kwang-Ting Cheng:
Software-Based Weighted Random Testing for IP Cores in Bus-Based Programmable SoCs. VTS 2002: 139-144 - 2001
- [j44]Randal E. Bryant, Kwang-Ting Cheng, Andrew B. Kahng, Kurt Keutzer, Wojciech Maly, A. Richard Newton, Lawrence T. Pileggi, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli:
Limitations and challenges of computer-aided design technology for CMOS VLSI. Proc. IEEE 89(3): 341-365 (2001) - [j43]Chung-Yang Huang, Kwang-Ting Cheng:
Using word-level ATPG and modular arithmetic constraint-solvingtechniques for assertion property checking. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(3): 381-391 (2001) - [j42]Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng:
Pattern generation for delay testing and dynamic timing analysisconsidering power-supply noise effects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(3): 416-425 (2001) - [j41]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen:
Verifying sequential equivalence using ATPG techniques. ACM Trans. Design Autom. Electr. Syst. 6(2): 244-275 (2001) - [j40]Yi-Min Jiang, Kwang-Ting Cheng:
Vector generation for power supply noise estimation and verification of deep submicron designs. IEEE Trans. Very Large Scale Integr. Syst. 9(2): 329-340 (2001) - [c110]Kingshy Goh, Edward Y. Chang, Kwang-Ting Cheng:
SVM Binary Classifier Ensembles for Image Classification. CIKM 2001: 395-402 - [c109]Wei-Cheng Lai, Kwang-Ting Cheng:
Instruction-Level DFT for Testing Processor and IP Cores in System-on-a-Chip. DAC 2001: 59-64 - [c108]Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, Angela Krstic:
Fast Statistical Timing Analysis By Probabilistic Event Propagation. DAC 2001: 661-666 - [c107]Ganapathy Parthasarathy, Chung-Yang Huang, Kwang-Ting Cheng:
An analysis of ATPG and SAT algorithms for formal verification. HLDVT 2001: 177-182 - [c106]Ying-Tsai Chang, Kwang-Ting Cheng:
Induction-Based Gate-Level Verification of Multipliers. ICCAD 2001: 190- - [c105]Beitao Li, Wei-Cheng Lai, Edward Y. Chang, Kwang-Ting Cheng:
Mining Image Features for Efficient Query Processing. ICDM 2001: 353-360 - [c104]Yi-Min Jiang, Han Young Koh, Kwang-Ting Cheng:
HRM - A Hierarchical Simulator for Full-Chip Power Network Reliability Analysis. ISQED 2001: 307-312 - [c103]Angela Krstic, Jing-Jia Liou, Yi-Min Jiang, Kwang-Ting Cheng:
Delay testing considering crosstalk-induced effects. ITC 2001: 558-567 - [c102]Kingshy Goh, Edward Y. Chang, Kwang-Ting Cheng:
Support vector machine pairwise classifiers with error reduction for image classification. ACM Multimedia Workshops 2001: 32-37 - [c101]Edward Y. Chang, Kwang-Ting Cheng, Wei-Cheng Lai, Ching-Tung Wu, Chengwei Chang, Yi-Leh Wu:
PBIR: perception-based image retrieval-a system that can quickly capture subjective image query concepts. ACM Multimedia 2001: 611-614 - [c100]Edward Y. Chang, Kwang-Ting (Tim) Cheng, Lihyuarn L. Chang:
PBIR - Perception-Based Image Retrieval. SIGMOD Conference 2001: 613 - [c99]Jing-Reng Huang, Madhu K. Iyer, Kwang-Ting Cheng:
A Self-Test Methodology for IP Cores in Bus-Based Programmable SoCs. VTS 2001: 198-203 - [c98]Wei-Cheng Lai, Jing-Reng Huang, Kwang-Ting (Tim) Cheng:
Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults at On-Chip Buses. VTS 2001: 204-209 - [c97]Jiun-Lang Huang, Kwang-Ting Cheng:
An On-Chip Short-Time Interval Measurement Technique for Testing High-Speed Communication Links. VTS 2001: 380-387 - 2000
- [j39]Wei-Cheng Lai, Angela Krstic, Kwang-Ting (Tim) Cheng:
Functionally Testable Path Delay Faults on a Microprocessor. IEEE Des. Test Comput. 17(4): 6-14 (2000) - [j38]Angela Krstic, Srimat T. Chakradhar, Kwang-Ting Cheng:
Testable Path Delay Fault Cover for Sequential Circuits. J. Inf. Sci. Eng. 16(5): 673-686 (2000) - [j37]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Chung-Yang Huang, Forrest Brewer:
AQUILA: An Equivalence Checking System for Large Sequential Designs. IEEE Trans. Computers 49(5): 443-464 (2000) - [j36]Huan-Chih Tsai, Kwang-Ting Cheng, Sudipta Bhawmik:
On improving test quality of scan-based BIST. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(8): 928-938 (2000) - [j35]Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng:
Estimation for maximum instantaneous current through supply lines for CMOS circuits. IEEE Trans. Very Large Scale Integr. Syst. 8(1): 61-73 (2000) - [c96]Jing-Jia Liou, Angela Krstic, Kwang-Ting Cheng, Deb Aditya Mukherjee, Sandip Kundu:
Performance sensitivity analysis using statistical method and its applications to delay. ASP-DAC 2000: 587-592 - [c95]Huan-Chih Tsai, Kwang-Ting Cheng, Vishwani D. Agrawal:
A testability metric for path delay faults and its application. ASP-DAC 2000: 593-598 - [c94]Jiun-Lang Huang, Kwang-Ting Cheng:
A sigma-delta modulation based BIST scheme for mixed-signal circuits. ASP-DAC 2000: 605-612 - [c93]Vishwani D. Agrawal, Kwang-Ting Cheng:
Testing in the Fourth Dimension. Asian Test Symposium 2000: 2 - [c92]Melvin A. Breuer, Kwang-Ting Cheng:
Challenges for the Academic Test Community. Asian Test Symposium 2000: 4 - [c91]Kwang-Ting Cheng, Vishwani D. Agrawal, Jing-Yang Jou, Li-C. Wang, Chi-Feng Wu, Shianling Wu:
Collaboration between Industry and Academia in Test Research. Asian Test Symposium 2000: 17 - [c90]Jing-Reng Huang, Chee-Kian Ong, Kwang-Ting Cheng, Cheng-Wen Wu:
An FPGA-based re-configurable functional tester for memory chips. Asian Test Symposium 2000: 51-57 - [c89]Chung-Yang Huang, Kwang-Ting Cheng:
Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques. DAC 2000: 118-123 - [c88]Kwang-Ting Cheng, Sujit Dey, Mike Rodgers, Kaushik Roy:
Test challenges for deep sub-micron technologies. DAC 2000: 142-149 - [c87]Jiun-Lang Huang, Chee-Kian Ong, Kwang-Ting Cheng:
A BIST Scheme for On-Chip ADC and DAC Testing. DATE 2000: 216-220 - [c86]Jing-Jia Liou, Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng:
Path Selection and Pattern Generation for Dynamic Timing Analysis Considering Power Supply Noise Effects. ICCAD 2000: 493-496 - [c85]Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng:
Dynamic Timing Analysis Considering Power Supply Noise Effects. ISQED 2000: 137-144 - [c84]Subrata Roy, Gokhan Guner, Kwang-Ting Cheng:
Efficient test mode selection and insertion for RTL-BIST. ITC 2000: 263-272 - [c83]Chung-Yang Huang, Bwolen Yang, Huan-Chih Tsai, Kwang-Ting Cheng:
Static property checking using ATPG vs. BDD techniques. ITC 2000: 309-316 - [c82]Jiun-Lang Huang, Kwang-Ting Cheng:
Testing and characterization of the one-bit first-order delta-sigma modulator for on-chip analog signal analysis. ITC 2000: 1021-1030 - [c81]Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng:
Test program synthesis for path delay faults in microprocessor cores. ITC 2000: 1080-1089 - [c80]Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng:
On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set. VTS 2000: 15-22 - [c79]Jing-Jia Liou, Kwang-Ting Cheng, Deb Aditya Mukherjee:
Path Selection for Delay Testing of Deep Sub-Micron Devices Using Statistical Performance Sensitivity Analysis. VTS 2000: 97-104 - [c78]Jan Arild Tofte, Chee-Kian Ong, Jiun-Lang Huang, Kwang-Ting (Tim) Cheng:
Characterization of a Pseudo-Random Testing Technique for Analog and Mixed-Signal Built-in-Self-Test. VTS 2000: 237-246
1990 – 1999
- 1999
- [j34]Kwang-Ting Cheng, Angela Krstic:
Current Directions in Automatic Test-Pattern Generation. Computer 32(11): 58-64 (1999) - [j33]Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar:
Primitive delay faults: identification, testing, and design for testability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(6): 669-684 (1999) - [j32]Shi-Yu Huang, Kwang-Ting Cheng:
ErrorTracer: design error diagnosis based on fault simulation techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(9): 1341-1352 (1999) - [j31]Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng:
AutoFix: a hybrid tool for automatic logic rectification. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(9): 1376-1384 (1999) - [j30]Kwang-Ting Cheng, Shi-Yu Huang, Wei-Jin Dai:
Fault emulation: A new methodology for fault grading. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(10): 1487-1495 (1999) - [c77]Huan-Chih Tsai, Kwang-Ting Cheng, Sudipta Bhawmik:
Improving the Test Quality for Scan-Based BIST Using a General Test Application Scheme. DAC 1999: 748-753 - [c76]Yi-Min Jiang, Kwang-Ting Cheng:
Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices. DAC 1999: 760-765 - [c75]Yi-Min Jiang, Tak K. Young, Kwang-Ting Cheng:
VIP - an input pattern generator for indentifying critical voltage drop for deep sub-micron designs. ISLPED 1999: 156-161 - [c74]Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng:
Delay testing considering power supply noise effects. ITC 1999: 181-190 - [c73]Angela Krstic, Kwang-Ting (Tim) Cheng, Srimat T. Chakradhar:
Testing High Speed VLSI Devices Using Slower Testers. VTS 1999: 16-21 - [c72]Jiun-Lang Huang, Chen-Yang Pan, Kwang-Ting Cheng:
Specification Back-Propagation and Its Application to DC Fault Simulation for Analog/Mixed-Signal Circuits. VTS 1999: 220-225 - [c71]Zao Yang, Kwang-Ting Cheng, King L. Tai:
A New Bare Die Test Methodology. VTS 1999: 290-295 - 1998
- [j29]David Ihsin Cheng, Kwang-Ting Cheng, Deborah C. Wang, Malgorzata Marek-Sadowska:
A hybrid methodology for switching activities estimation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(4): 357-366 (1998) - [j28]Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee:
Test-point insertion: scan paths through functional logic. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(9): 838-851 (1998) - [j27]Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik:
Efficient test-point selection for scan-based BIST. IEEE Trans. Very Large Scale Integr. Syst. 6(4): 667-676 (1998) - [c70]Yi-Min Jiang, Shi-Yu Huang, Kwang-Ting Cheng, Deborah C. Wang, ChingYen Ho:
A Hybrid Power Model for RTL Power Estimation. ASP-DAC 1998: 551-556 - [c69]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Juin-Yeu Joseph Lu:
Fault-Simulation Based Design Error Diagnosis for Sequential Circuits. DAC 1998: 632-637 - [c68]Douglas Chang, Kwang-Ting Cheng, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee:
Functional Scan Chain Testing. DATE 1998: 278-283 - [c67]Yi-Min Jiang, Kwang-Ting Cheng:
Exact and Approximate Estimation for Maximum Instantaneous Current of CMOS Circuits. DATE 1998: 698-702 - [c66]Yi-Min Jiang, Kwang-Ting Cheng, An-Chang Deng:
Estimation of maximum power supply noise for deep sub-micron designs. ISLPED 1998: 233-238 - [c65]Chung-Yang Huang, Yucheng Wang, Kwang-Ting Cheng:
LIBRA - a library-independent framework for post-layout performance optimization. ISPD 1998: 135-140 - [c64]Huan-Chih Tsai, Sudipta Bhawmik, Kwang-Ting Cheng:
An almost full-scan BIST solution-higher fault coverage and shorter test application time. ITC 1998: 1065-1073 - [c63]Kwang-Ting Cheng:
National Science Foundation Workshop on Future Research Directions in Testing of Electronic Circuits and Systems: executive summary of workshop report. ITC 1998: 1157-1161 - 1997
- [j26]Kwang-Ting Cheng, Kewal K. Saluja, Hans-Joachim Wunderlich:
Guest Editorial. J. Electron. Test. 11(1): 7-8 (1997) - [j25]Angela Krstic, Kwang-Ting Cheng:
Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability. J. Electron. Test. 11(1): 43-54 (1997) - [j24]Shih-Chieh Chang, Kwang-Ting Cheng, Nam Sung Woo, Malgorzata Marek-Sadowska:
Postlayout logic restructuring using alternative wires. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(6): 587-596 (1997) - [j23]Chen-Yang Pan, Kwang-Ting Cheng:
Pseudorandom testing for mixed-signal circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(10): 1173-1185 (1997) - [c62]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen:
AQUILA: An equivalence verifier for large sequential circuits. ASP-DAC 1997: 455-460 - [c61]Angela Krstic, Kwang-Ting Cheng:
Vector Generation for Maximum Instantaneous Current Through Supply Lines for CMOS Circuits. DAC 1997: 383-388 - [c60]Douglas Chang, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Takashi Aikyo, Kwang-Ting Cheng:
A Test Synthesis Approach to Reducing BALLAST DFT Overhead. DAC 1997: 466-471 - [c59]Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik:
A Hybrid Algorithm for Test Point Selection for Scan-Based BIST. DAC 1997: 478-483 - [c58]Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng, Malgorzata Marek-Sadowska:
Post-Layout Logic Restructuring for Performance Optimization. DAC 1997: 662-665 - [c57]Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar:
Design for Primitive Delay Fault Testability. ITC 1997: 436-445 - [c56]Jiun-Lang Huang, Kwang-Ting Cheng:
Analog Fault Diagnosis for Unpowered Circuit Boards. ITC 1997: 640-648 - [c55]Chen-Yang Pan, Kwang-Ting Cheng:
Fault Macromodeling for Analog/Mixed-Signal Circuits. ITC 1997: 913-922 - [c54]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, David Ihsin Cheng:
Error Tracer: A Fault-Simualtion-Based Approach to Design Error Diagnosis. ITC 1997: 974-981 - [c53]Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng:
Incremental logic rectification. VTS 1997: 143-149 - 1996
- [j22]Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta:
Fault macromodeling and a testing strategy for opamps. J. Electron. Test. 9(3): 225-235 (1996) - [j21]Kwang-Ting Cheng, Angela Krstic, Hsi-Chuan Chen:
Generation of High Quality Tests for Robustly Untestable Path Delay Faults. IEEE Trans. Computers 45(12): 1379-1392 (1996) - [j20]Kwang-Ting Cheng, Hsi-Chuan Chen:
Classification and identification of nonrobust untestable path delay faults. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(8): 845-853 (1996) - [j19]Shih-Chieh Chang, Malgorzata Marek-Sadowska, Kwang-Ting Cheng:
Perturb and simplify: multilevel Boolean network optimizer. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(12): 1494-1504 (1996) - [j18]Kwang-Ting Cheng, A. S. Krishnakumar:
Automatic generation of functional vectors using the extended finite state machine model. ACM Trans. Design Autom. Electr. Syst. 1(1): 57-79 (1996) - [j17]Kwang-Ting Cheng:
Gate-level test generation for sequential circuits. ACM Trans. Design Autom. Electr. Syst. 1(4): 405-442 (1996) - [c52]Hisashi Kondo, Kwang-Ting Cheng:
An Efficient Compact Test Generator for IDDQ Testing. Asian Test Symposium 1996: 177-182 - [c51]Kwang-Ting Cheng:
Built-In Self Test for Analog and Mixed-Signal Designs. Asian Test Symposium 1996: 197-198 - [c50]Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng, Tien-Chien Lee:
Compact Vector Generation for Accurate Power Simulation. DAC 1996: 161-164 - [c49]Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng:
Error Correction Based on Verification Techniques. DAC 1996: 258-261 - [c48]Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee:
Test Point Insertion: Scan Paths through Combinational Logic. DAC 1996: 268-273 - [c47]David Ihsin Cheng, Kwang-Ting Cheng, Deborah C. Wang, Malgorzata Marek-Sadowska:
A New Hybrid Methodology for Power Estimation. DAC 1996: 439-444 - [c46]Angela Krstic, Kwang-Ting Cheng:
Resynthesis of Combinational Circuts for Path Count Reduction and for Path Delay Fault Testability. ED&TC 1996: 486-490 - [c45]Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar:
Testable path delay fault cover for sequential circuits. EURO-DAC 1996: 220-226 - [c44]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen:
On Verifying the Correctness of Retimed Circuits. Great Lakes Symposium on VLSI 1996: 277- - [c43]Hisashi Kondo, Kwang-Ting Cheng:
Driving toward higher IDDQ test quality for sequential circuits: a generalized fault model and its ATPG. ICCAD 1996: 228-232 - [c42]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Mike Tien-Chien Lee:
A novel methodology for transistor-level power estimation. ISLPED 1996: 67-72 - [c41]Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar:
Identification and Test Generation for Primitive Faults. ITC 1996: 423-432 - [c40]Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Uwe Gläser:
An ATPG-Based Framework for Verifying Sequential Equivalence. ITC 1996: 865-874 - [c39]Chen-Yang Pan, Kwang-Ting Cheng:
Implicit functional testing for analog circuits. VTS 1996: 489-494 - 1995
- [j16]Kwang-Ting (Tim) Cheng:
Single-Clock Partial Scan. IEEE Des. Test Comput. 12(2): 24-31 (1995) - [j15]Jing-Yang Jou, Kwang-Ting (Tim) Cheng:
Timing-Driven Partial Scan. IEEE Des. Test Comput. 12(4): 52-59 (1995) - [j14]Luis Entrena-Arrontes, Kwang-Ting Cheng:
Combinational and sequential logic optimization by redundancy addition and removal. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(7): 909-916 (1995) - [c38]Uwe Gläser, Kwang-Ting Cheng:
Logic optimization by an improved sequential redundancy addition and removal techniques. ASP-DAC 1995 - [c37]Uwe Sparmann, D. Luxenburger, Kwang-Ting Cheng, Sudhakar M. Reddy:
Fast Identification of Robust Dependent Path Delay Faults. DAC 1995: 119-125 - [c36]Chih-Chang Lin, Kuang-Chien Chen, Shih-Chieh Chang, Malgorzata Marek-Sadowska, Kwang-Ting Cheng:
Logic Synthesis for Engineering Change. DAC 1995: 647-652 - [c35]Shih-Chieh Chang, Malgorzata Marek-Sadowska, Kwang-Ting Cheng:
An Efficient Algorithm for Local Don't Care Sets Calculation. DAC 1995: 663-667 - [c34]Chen-Yang Pan, Kwang-Ting Cheng:
Pseudo-random testing and signature analysis for mixed-signal circuits. ICCAD 1995: 102-107 - [c33]Kwang-Ting Cheng, Shi-Yu Huang, Wei-Jin Dai:
Fault emulation: a new approach to fault grading. ICCAD 1995: 681-686 - [c32]Kwang-Ting Cheng, Chih-Jen Lin:
Timing-Driven Test Point Insertion for Full-Scan and Partial-Scan BIST. ITC 1995: 506-514 - [c31]Kwang-Ting Cheng:
Partial scan designs without using a separate scan clock. VTS 1995: 277-282 - [c30]Angela Krstic, Kwang-Ting Cheng:
Generation of high quality tests for functional sensitizable paths. VTS 1995: 374-379 - 1994
- [c29]Shih-Chieh Chang, Kwang-Ting Cheng, Nam Sung Woo, Malgorzata Marek-Sadowska:
Layout Driven Logic Synthesis for FPGAs. DAC 1994: 308-313 - [c28]Kwang-Ting Cheng, Hsi-Chuan Chen:
Generation of High Quality Non-Robust Tests for Path Delay Faults. DAC 1994: 365-369 - [c27]A. S. Krishnakumar, Kwang-Ting Cheng:
On the Computation of the Set of Reachable States of Hybrid Models. DAC 1994: 615-621 - [c26]Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta:
A comprehensive fault macromodel for opamps. ICCAD 1994: 344-348 - 1993
- [j13]Wayne Wei-Ming Dai, Kwang-Ting (Tim) Cheng:
Guest Editor's Introduction. IEEE Des. Test Comput. 10(4): 7- (1993) - [j12]Kwang-Ting Cheng:
Redundancy removal for sequential circuits without reset states. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(1): 13-24 (1993) - [j11]Irith Pomeranz, Kwang-Ting Cheng:
STOIC: state assignment based on output/input functions. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(8): 1123-1131 (1993) - [j10]Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer:
Delay-fault test generation and synthesis for testability under a standard scan design methodology. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(8): 1217-1231 (1993) - [j9]Kwang-Ting Cheng, Hi-Keung Tony Ma:
On the over-specification problem in sequential ATPG algorithms. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(10): 1599-1604 (1993) - [j8]Kwang-Ting Cheng:
Transition fault testing for sequential circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(12): 1971-1983 (1993) - [c25]Kwang-Ting Cheng, A. S. Krishnakumar:
Automatic Functional Test Generation Using the Extended Finite State Machine Model. DAC 1993: 86-91 - [c24]Luis Entrena, Kwang-Ting Cheng:
Sequential logic optimization by redundancy addition and removal. ICCAD 1993: 310-315 - [c23]Kwang-Ting Cheng, Hsi-Chuan Chen:
Delay Testing for Non-Robust Untestable Circuits. ITC 1993: 954-961 - 1992
- [j7]Kwang-Ting Cheng, Vishwani D. Agrawal:
Initializability Consideration in Sequential Machine Synthesis. IEEE Trans. Computers 41(3): 374-379 (1992) - [j6]Kwang-Ting Cheng, Jing-Yang Jou:
A functional fault model for sequential machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(9): 1065-1073 (1992) - [c22]Kwang-Ting Cheng, Hi-Keung Tony Ma:
On the Over-Specification Problem in Sequential ATPG Algorithms. DAC 1992: 16-21 - [c21]Irith Pomeranz, Kwang-Ting Cheng:
State Assignment Using Input/Output Functions. DAC 1992: 573-577 - [c20]Kwang-Ting Cheng:
Test generation for delay faults in non-scan and partial scan sequential circuits. ICCAD 1992: 554-559 - [c19]Kwang-Ting Cheng:
Transition Fault Simulation for Sequential Circuits. ITC 1992: 723-731 - [c18]Kwang-Ting Cheng:
Recent advances in sequential test generation. VTS 1992: 241-246 - 1991
- [c17]Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer:
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology. DAC 1991: 80-86 - [c16]Kwang-Ting Cheng:
On Removing Redundancy in Sequential Circuits. DAC 1991: 164-169 - [c15]Kwang-Ting Cheng:
An ATPG-Based Approach to Sequential Logic Optimization. ICCAD 1991: 372-375 - [c14]Jing-Yang Jou, Kwang-Ting Cheng:
Timing-Driven Partial Scan. ICCAD 1991: 404-407 - [c13]Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer:
A Partial Enhanced-Scan Approach to Robust Delay-Fault Test Generation for Sequential Circuits. ITC 1991: 403-410 - 1990
- [j5]Vishwani D. Agrawal, Kwang-Ting Cheng:
Finite state machine synthesis with embedded test function. J. Electron. Test. 1(3): 221-228 (1990) - [j4]Kwang-Ting Cheng, Vishwani D. Agrawal:
A Partial Scan Method for Sequential Circuits with Feedback. IEEE Trans. Computers 39(4): 544-549 (1990) - [j3]Kwang-Ting Cheng, Vishwani D. Agrawal, Ernest S. Kuh:
A Simulation-Based Method for Generating Tests for Sequential Circuits. IEEE Trans. Computers 39(12): 1456-1463 (1990) - [c12]Vishwani D. Agrawal, Kwang-Ting Cheng:
Test Function Specification in Synthesis. DAC 1990: 235-240 - [c11]Kwang-Ting Cheng, Vishwani D. Agrawal:
An Entropy Measure for the Complexity of Multi-Output Boolean Functions. DAC 1990: 302-305 - [c10]Vishwani D. Agrawal, Kwang-Ting Cheng:
An architecture for synthesis of testable finite state machines. EURO-DAC 1990: 612-616 - [c9]Kwang-Ting Cheng, Jing-Yang Jou:
A Single-State-Transition Fault Model for Sequential Machines. ICCAD 1990: 226-229 - [c8]Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, Kwang-Ting Cheng:
Timing Optimization with Testability Considerations. ICCAD 1990: 460-463 - [c7]Kwang-Ting Cheng, Jing-Yang Jou:
Functional test generation for finite state machines. ITC 1990: 162-168
1980 – 1989
- 1989
- [j2]Vishwani D. Agrawal, Kwang-Ting Cheng, Prathima Agrawal:
A directed search method for test generation using a concurrent simulator. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(2): 131-138 (1989) - [c6]Kwang-Ting Cheng, Vishwani D. Agrawal:
An economical scan design for sequential logic test generation. FTCS 1989: 28-35 - [c5]Kwang-Ting Cheng, Vishwani D. Agrawal:
State assignment for initializable synthesis (gate level analysis). ICCAD 1989: 212-215 - [c4]Kwang-Ting Cheng, Vishwani D. Agrawal:
Design of sequential machines for efficient test generation. ICCAD 1989: 358-361 - [c3]Prathima Agrawal, Vishwani D. Agrawal, Kwang-Ting Cheng, Raffi Tutundjian:
Fault Simulation in a Pipelined Multiprocessor System. ITC 1989: 727-734 - 1988
- [j1]Vishwani D. Agrawal, Kwang-Ting Cheng, Daniel D. Johnson, Tonysheng Lin:
Designing circuits with partial scan. IEEE Des. Test 5(2): 8-15 (1988) - [c2]Vishwani D. Agrawal, Kwang-Ting Cheng, Prathima Agrawal:
Contest: A Concurrent Test Generator for Sequential Circuits. DAC 1988: 84-89 - [c1]Kwang-Ting Cheng, Vishwani D. Agrawal, Ernest S. Kuh:
A sequential circuit test generation using threshold-value simulation. FTCS 1988: 24-29
Coauthor Index
aka: Hsiu-Ming (Sherman) Chang
aka: Tsung-Ching Jim Huang
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-12-26 01:54 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint