default search action
Fabrizio Lombardi
Person information
- affiliation: Northeastern University, Boston, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2025
- [j271]Jinhua Zhu, Zhen Gao, Pedro Reviriego, Shanshan Liu, Fabrizio Lombardi:
Dependability of the K Minimum Values Sketch: Protection and Comparative Analysis. IEEE Trans. Computers 74(1): 210-221 (2025) - 2024
- [j270]Javier Conde, Pedro Reviriego, Joaquín Salvachúa, Gonzalo Martínez, José Alberto Hernández, Fabrizio Lombardi:
Understanding the Impact of Artificial Intelligence in Academic Writing: Metadata to the Rescue. Computer 57(1): 105-109 (2024) - [j269]Javier Conde, Miguel González, Pedro Reviriego, Zhen Gao, Shanshan Liu, Fabrizio Lombardi:
Speed and Conversational Large Language Models: Not All Is About Tokens per Second. Computer 57(8): 74-80 (2024) - [j268]Ziheng Wang, Pedro Reviriego, Farzad Niknia, Javier Conde, Shanshan Liu, Fabrizio Lombardi:
Adaptive Resolution Inference (ARI): Energy-Efficient Machine Learning for Internet of Things. IEEE Internet Things J. 11(8): 14076-14087 (2024) - [j267]Xiaochen Tang, Pedro Reviriego, Wei Tang, David G. M. Mitchell, Fabrizio Lombardi, Shanshan Liu:
Joint Learning and Channel Coding for Error-Tolerant IoT Systems Based on Machine Learning. IEEE Trans. Artif. Intell. 5(1): 217-228 (2024) - [j266]Hai Mo, Yong Wu, Honglan Jiang, Zining Ma, Fabrizio Lombardi, Jie Han, Leibo Liu:
Learning the Error Features of Approximate Multipliers for Neural Network Applications. IEEE Trans. Computers 73(3): 842-856 (2024) - [j265]Pedro Reviriego, Miguel González, Niv Dayan, Gabriel Huecas, Shanshan Liu, Fabrizio Lombardi:
On the Security of Quotient Filters: Attacks and Potential Countermeasures. IEEE Trans. Computers 73(9): 2165-2177 (2024) - [j264]Yuechen Chen, Ahmed Louri, Shanshan Liu, Fabrizio Lombardi:
A Balanced Sparse Matrix Convolution Accelerator for Efficient CNN Training. IEEE Trans. Circuits Syst. I Regul. Pap. 71(10): 4638-4651 (2024) - [j263]Ke Chen, Shanshan Liu, Weiqiang Liu, Fabrizio Lombardi, Nader Bagherzadeh:
Guest Editorial: Special Section on "Approximate Data Processing: Computing, Storage and Applications". IEEE Trans. Emerg. Top. Comput. 12(4): 954-955 (2024) - [j262]Alfonso Sánchez-Macián, Jorge Martínez, Pedro Reviriego, Shanshan Liu, Fabrizio Lombardi:
On the Privacy of the Count-Min Sketch: Extracting the Top-K Elements. IEEE Trans. Emerg. Top. Comput. 12(4): 1056-1065 (2024) - [j261]Pedro Reviriego, Jim Apple, David Larrabeiti, Shanshan Liu, Fabrizio Lombardi:
On the Privacy of Adaptive Cuckoo Filters: Analysis and Protection. IEEE Trans. Inf. Forensics Secur. 19: 5867-5879 (2024) - [j260]Pedro Reviriego, Ziheng Wang, Álvaro Alonso, Zhen Gao, Farzad Niknia, Shanshan Liu, Fabrizio Lombardi:
Concurrent Classifier Error Detection (CCED) in Large Scale Machine Learning Systems. IEEE Trans. Reliab. 73(4): 1782-1791 (2024) - [c279]Zhen Gao, Jie Deng, Pedro Reviriego, Shanshan Liu, Fabrizio Lombardi:
Reducing the Energy Dissipation of Large Language Models (LLMs) with Approximate Memories. ISCAS 2024: 1-5 - [i6]Jinhua Zhu, Javier Conde, Zhen Gao, Pedro Reviriego, Shanshan Liu, Fabrizio Lombardi:
Concurrent Linguistic Error Detection (CLED) for Large Language Models. CoRR abs/2403.16393 (2024) - [i5]Ziheng Wang, Pedro Reviriego, Farzad Niknia, Javier Conde, Shanshan Liu, Fabrizio Lombardi:
Adaptive Resolution Inference (ARI): Energy-Efficient Machine Learning for Internet of Things. CoRR abs/2408.14528 (2024) - 2023
- [j259]Pedro Reviriego, Elena Merino Gómez, Fabrizio Lombardi:
The Byzantine Empire and Its Generals: An Ancient Empire Back to Life in Computer Security. Computer 56(3): 118-124 (2023) - [j258]Xiaochen Tang, Shanshan Liu, Farzad Niknia, Ziheng Wang, Siting Liu, Pedro Reviriego, Fabrizio Lombardi:
Delta Sigma Modulator-Based Dividers for Accurate and Low Latency Stochastic Computing Systems. IEEE J. Emerg. Sel. Topics Circuits Syst. 13(1): 270-284 (2023) - [j257]Fabrizio Lombardi, Selver Pepic, Oren Shriki, Gasper Tkacik, Daniele De Martino:
Statistical modeling of adaptive neural networks explains co-existence of avalanches and oscillations in resting human brain. Nat. Comput. Sci. 3(3): 254-263 (2023) - [j256]Ziheng Wang, Farzad Niknia, Shanshan Liu, Pedro Reviriego, Paolo Montuschi, Fabrizio Lombardi:
Tolerance of Siamese Networks (SNs) to Memory Errors: Analysis and Design. IEEE Trans. Computers 72(4): 1136-1149 (2023) - [j255]Pedro Reviriego, Alfonso Sánchez-Macián, Elena Merino Gómez, Ori Rottenstreich, Shanshan Liu, Fabrizio Lombardi:
Attacking the Privacy of Approximate Membership Check Filters by Positive Concentration. IEEE Trans. Computers 72(5): 1409-1419 (2023) - [j254]Ke Chen, Chenyu Xu, Haroon Waris, Weiqiang Liu, Paolo Montuschi, Fabrizio Lombardi:
Exact and Approximate Squarers for Error-Tolerant Applications. IEEE Trans. Computers 72(7): 2120-2126 (2023) - [j253]Shanshan Liu, Pedro Reviriego, Anees Ullah, Ahmed Louri, Fabrizio Lombardi:
Error-Resilient Data Compression With Tunstall Codes. IEEE Trans. Circuits Syst. I Regul. Pap. 70(5): 1963-1975 (2023) - [j252]Pedro Reviriego, Alfonso Sánchez-Macián, Stefan Walzer, Elena Merino Gómez, Shanshan Liu, Fabrizio Lombardi:
On the Privacy of Counting Bloom Filters. IEEE Trans. Dependable Secur. Comput. 20(2): 1488-1499 (2023) - [j251]Sergio Galán, Pedro Reviriego, Stefan Walzer, Alfonso Sánchez-Macián, Shanshan Liu, Fabrizio Lombardi:
On the Privacy of Counting Bloom Filters Under a Black-Box Attacker. IEEE Trans. Dependable Secur. Comput. 20(5): 4434-4440 (2023) - [j250]Yuechen Chen, Shanshan Liu, Fabrizio Lombardi, Ahmed Louri:
A Technique for Approximate Communication in Network-on-Chips for Image Classification. IEEE Trans. Emerg. Top. Comput. 11(1): 30-42 (2023) - [j249]Yuqin Dou, Chongyan Gu, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
Security and Approximation: Vulnerabilities in Approximation-Aware Testing. IEEE Trans. Emerg. Top. Comput. 11(1): 265-271 (2023) - [j248]Bi Wu, Haonan Zhu, Dayane Reis, Zhaohao Wang, Ying Wang, Ke Chen, Weiqiang Liu, Fabrizio Lombardi, Xiaobo Sharon Hu:
An Energy-Efficient Computing-in-Memory (CiM) Scheme Using Field-Free Spin-Orbit Torque (SOT) Magnetic RAMs. IEEE Trans. Emerg. Top. Comput. 11(2): 331-342 (2023) - [j247]Yuechen Chen, Ahmed Louri, Shanshan Liu, Fabrizio Lombardi:
Slack-Aware Packet Approximation for Energy-Efficient Network-on-Chips. IEEE Trans. Sustain. Comput. 8(1): 120-132 (2023) - [j246]Ke Chen, Yue Gao, Haroon Waris, Weiqiang Liu, Fabrizio Lombardi:
Approximate Softmax Functions for Energy-Efficient Deep Neural Networks. IEEE Trans. Very Large Scale Integr. Syst. 31(1): 4-16 (2023) - [c278]Xiaochen Tang, Shanshan Liu, Farzad Niknia, Wei Tang, Pedro Reviriego, Fabrizio Lombardi:
Integrating Delta Modulation and Stochastic Computing for Real-time Machine Learning based Heartbeats Monitoring in Wearable Systems. AICAS 2023: 1-5 - [c277]Salin Junsangsri, Fabrizio Lombardi:
Reduced Precision Redundancy Systems by Approximation (RPA): Design and Analysis. ISCAS 2023: 1-5 - [c276]Ziheng Wang, Farzad Niknia, Shanshan Liu, Honglan Jiang, Siting Liu, Pedro Reviriego, Fabrizio Lombardi:
Feature-Embedding Triplet Networks with a Separately Constrained Loss Function. ISCAS 2023: 1-5 - [i4]Pedro Reviriego, Ziheng Wang, Álvaro Alonso, Zhen Gao, Farzad Niknia, Shanshan Liu, Fabrizio Lombardi:
Concurrent Classifier Error Detection (CCED) in Large Scale Machine Learning Systems. CoRR abs/2306.01820 (2023) - [i3]Gonzalo Martínez, Javier Conde, Pedro Reviriego, Elena Merino Gómez, José Alberto Hernández, Fabrizio Lombardi:
How many words does ChatGPT know? The answer is ChatWords. CoRR abs/2309.16777 (2023) - 2022
- [j245]Pedro Reviriego, Elena Merino Gómez, Fabrizio Lombardi:
Latin and Greek in Computing: Ancient Words in a New World. Computer 55(6): 66-72 (2022) - [j244]Ke Chen, Peipei Yin, Weiqiang Liu, Fabrizio Lombardi:
A survey of approximate arithmetic circuits and blocks. it Inf. Technol. 64(3): 79-87 (2022) - [j243]Shanshan Liu, Pedro Reviriego, Fabrizio Lombardi:
Selective Neuron Re-Computation (SNRC) for Error-Tolerant Neural Networks. IEEE Trans. Computers 71(3): 684-695 (2022) - [j242]Shanshan Liu, Bi Wu, Ke Chen, Weiqiang Liu, Máire O'Neill, Fabrizio Lombardi:
Editorial Special Issue on Circuits and Systems for Emerging Computing Paradigms. IEEE Trans. Circuits Syst. I Regul. Pap. 69(7): 2653-2654 (2022) - [j241]Xiaochen Tang, Shanshan Liu, Farzad Niknia, Pedro Reviriego, Ziheng Wang, Wei Tang, Ahmed Louri, Fabrizio Lombardi:
A Delta Sigma Modulator-Based Stochastic Divider. IEEE Trans. Circuits Syst. I Regul. Pap. 69(8): 3272-3283 (2022) - [j240]Pedro Reviriego, Jorge Martínez, Ori Rottenstreich, Shanshan Liu, Fabrizio Lombardi:
Remove Minimum (RM): An Error-Tolerant Scheme for Cardinality Estimate by HyperLogLog. IEEE Trans. Dependable Secur. Comput. 19(2): 966-977 (2022) - [j239]Pedro Reviriego, Alfonso Sánchez-Macián, Shanshan Liu, Fabrizio Lombardi:
On the Security of the K Minimum Values (KMV) Sketch. IEEE Trans. Dependable Secur. Comput. 19(5): 3539-3545 (2022) - [j238]Weiqiang Liu, Tao Xu, Jing Li, Chenghua Wang, Paolo Montuschi, Fabrizio Lombardi:
Design of Unsigned Approximate Hybrid Dividers Based on Restoring Array and Logarithmic Dividers. IEEE Trans. Emerg. Top. Comput. 10(1): 339-350 (2022) - [j237]Haroon Waris, Chenghua Wang, Weiqiang Liu, Jie Han, Fabrizio Lombardi:
Hybrid Partial Product-Based High-Performance Approximate Recursive Multipliers. IEEE Trans. Emerg. Top. Comput. 10(1): 507-513 (2022) - [j236]Junqi Huang, Haider A. F. Almurib, T. Nandha Kumar, Fabrizio Lombardi:
An Inexact Newton Method For Unconstrained Total Variation-Based Image Denoising by Approximate Addition. IEEE Trans. Emerg. Top. Comput. 10(2): 1192-1207 (2022) - [j235]Pedro Reviriego, Shanshan Liu, Otmar Ertl, Farzad Niknia, Fabrizio Lombardi:
Computing the Similarity Estimate Using Approximate Memory. IEEE Trans. Emerg. Top. Comput. 10(3): 1593-1604 (2022) - [j234]Shanshan Liu, Pedro Reviriego, Fabrizio Lombardi, Patrick Girard:
Guest Editorial: Special Section on "To be Safe and Dependable in the Era of Artificial Intelligence: Emerging Techniques for Trusted and Reliable Machine Learning". IEEE Trans. Emerg. Top. Comput. 10(4): 1668-1670 (2022) - [j233]Pedro Reviriego, Alfonso Sánchez-Macián, Salvatore Pontarelli, Shanshan Liu, Fabrizio Lombardi:
Attacking Adaptive Cuckoo Filters: Too Much Adaptation Can Kill You. IEEE Trans. Netw. Serv. Manag. 19(4): 5224-5236 (2022) - [c275]Shanshan Liu, Jing Guo, Xiaochen Tang, Pedro Reviriego, Fabrizio Lombardi:
A Polarity-Driven Radiation-Hardened Latch design for Single Event Upset Tolerance. DFT 2022: 1-6 - [c274]Hanghang Wang, Ke Chen, Bi Wu, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
HEADiv: A High-accuracy Energy-efficient Approximate Divider with Error Compensation. NANOARCH 2022: 15:1-15:6 - [c273]Yuechen Chen, Ahmed Louri, Shanshan Liu, Fabrizio Lombardi:
Approximate Network-on-Chips with Application to Image Classification. NAS 2022: 1-8 - [p4]Ke Chen, Weiqiang Liu, Fabrizio Lombardi:
Approximate Arithmetic Circuits: Design and Applications. Approximate Computing 2022: 3-21 - [p3]Tingting Zhang, Honglan Jiang, Weiqiang Liu, Fabrizio Lombardi, Leibo Liu, Seok-Bum Ko, Jie Han:
Majority Logic-Based Approximate Multipliers for Error-Tolerant Applications. Approximate Computing 2022: 119-146 - [p2]Junqi Huang, Haider Abbas F. Almurib, Thulasiraman Nandha Kumar, Fabrizio Lombardi:
Approximate Computing in Image Compression and Denoising. Approximate Computing 2022: 531-562 - [e4]Weiqiang Liu, Fabrizio Lombardi:
Approximate Computing. Springer International Publishing 2022, ISBN 978-3-030-98346-8 [contents] - [i2]Fernando Moral-Andrés, Elena Merino Gómez, Pedro Reviriego, Fabrizio Lombardi:
Can Artificial Intelligence Reconstruct Ancient Mosaics? CoRR abs/2210.06145 (2022) - 2021
- [j232]Shanshan Liu, Pedro Reviriego, Paolo Montuschi, Fabrizio Lombardi:
Less-is-Better Protection (LBP) for memory errors in kNNs classifiers. Future Gener. Comput. Syst. 117: 401-411 (2021) - [j231]Fabrizio Lombardi, Oren Shriki, Hans J. Herrmann, Lucilla de Arcangelis:
Long-range temporal correlations in the broadband resting state activity of the human brain revealed by neuronal avalanches. Neurocomputing 461: 657-666 (2021) - [j230]Jie Li, Pedro Reviriego, Shanshan Liu, Liyi Xiao, Fabrizio Lombardi:
Designs for efficient low power cardinality and similarity sketches by Two-Step Hashing (TSH). Integr. 81: 246-253 (2021) - [j229]Pengfei Huang, Chenghua Wang, Weiqiang Liu, Fei Qiao, Fabrizio Lombardi:
A Hardware/Software Co-Design Methodology for Adaptive Approximate Computing in clustering and ANN Learning. IEEE Open J. Comput. Soc. 2: 38-52 (2021) - [j228]Jing Guo, Shanshan Liu, Xiaohui Su, Chunhua Qi, Fabrizio Lombardi:
High-Performance CMOS Latch Designs for Recovering All Single and Double Node Upsets. IEEE Trans. Aerosp. Electron. Syst. 57(6): 4401-4415 (2021) - [j227]Tian Yuan, Weiqiang Liu, Jie Han, Fabrizio Lombardi:
High Performance CNN Accelerators Based on Hardware and Algorithm Co-Optimization. IEEE Trans. Circuits Syst. I Regul. Pap. 68(1): 250-263 (2021) - [j226]Guocai Nan, Zhengkuan Wang, Chenghua Wang, Bi Wu, Zhican Wang, Weiqiang Liu, Fabrizio Lombardi:
An Energy Efficient Accelerator for Bidirectional Recurrent Neural Networks (BiRNNs) Using Hybrid-Iterative Compression With Error Sensitivity. IEEE Trans. Circuits Syst. I Regul. Pap. 68(9): 3707-3718 (2021) - [j225]Shanshan Liu, Xiaochen Tang, Farzad Niknia, Pedro Reviriego, Weiqiang Liu, Ahmed Louri, Fabrizio Lombardi:
Stochastic Dividers for Low Latency Neural Networks. IEEE Trans. Circuits Syst. I Regul. Pap. 68(10): 4102-4115 (2021) - [j224]Haroon Waris, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
AxBMs: Approximate Radix-8 Booth Multipliers for High-Performance FPGA-Based Accelerators. IEEE Trans. Circuits Syst. II Express Briefs 68(5): 1566-1570 (2021) - [j223]Weiqiang Liu, Tingting Zhang, Emma McLarnon, Máire O'Neill, Paolo Montuschi, Fabrizio Lombardi:
Design and Analysis of Majority Logic-Based Approximate Adders and Multipliers. IEEE Trans. Emerg. Top. Comput. 9(3): 1609-1624 (2021) - [j222]Shanshan Liu, Pedro Reviriego, Fabrizio Lombardi:
Detection of Limited Magnitude Errors in Emerging Multilevel Cell Memories by One-Bit Parity (OBP) or Two-Bit Parity (TBP). IEEE Trans. Emerg. Top. Comput. 9(4): 1792-1802 (2021) - [j221]Chongyan Gu, Weiqiang Liu, Yijun Cui, Neil Hanley, Máire O'Neill, Fabrizio Lombardi:
A Flip-Flop Based Arbiter Physical Unclonable Function (APUF) Design with High Entropy and Uniqueness for FPGA Implementation. IEEE Trans. Emerg. Top. Comput. 9(4): 1853-1866 (2021) - [j220]Shanshan Liu, Ke Chen, Pedro Reviriego, Weiqiang Liu, Ahmed Louri, Fabrizio Lombardi:
Reduced Precision Redundancy for Reliable Processing of Data. IEEE Trans. Emerg. Top. Comput. 9(4): 1960-1971 (2021) - [j219]Shanshan Liu, Pedro Reviriego, Jing Guo, Jie Han, Fabrizio Lombardi:
Exploiting Asymmetry in eDRAM Errors for Redundancy-Free Error-Tolerant Design. IEEE Trans. Emerg. Top. Comput. 9(4): 2064-2075 (2021) - [j218]Shanshan Liu, Pedro Reviriego, José Alberto Hernández, Fabrizio Lombardi:
Voting Margin: A Scheme for Error-Tolerant k Nearest Neighbors Classifiers for Machine Learning. IEEE Trans. Emerg. Top. Comput. 9(4): 2089-2098 (2021) - [j217]Yidong Liu, Siting Liu, Yanzhi Wang, Fabrizio Lombardi, Jie Han:
A Survey of Stochastic Computing Neural Networks for Machine Learning Applications. IEEE Trans. Neural Networks Learn. Syst. 32(7): 2809-2824 (2021) - [j216]Peipei Yin, Chenghua Wang, Haroon Waris, Weiqiang Liu, Yinhe Han, Fabrizio Lombardi:
Design and Analysis of Energy-Efficient Dynamic Range Approximate Logarithmic Multipliers for Machine Learning. IEEE Trans. Sustain. Comput. 6(4): 612-625 (2021) - [j215]Haroon Waris, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
AxSA: On the Design of High-Performance and Power-Efficient Approximate Systolic Arrays for Matrix Multiplication. J. Signal Process. Syst. 93(6): 605-615 (2021) - [c272]Pedro Reviriego, Ori Rottenstreich, Shanshan Liu, Fabrizio Lombardi:
Analyzing and Assessing Pollution Attacks on Bloom Filters: Some Filters are More Vulnerable than Others. CNSM 2021: 491-499 - [c271]Junqi Huang, T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
Commutative Approximate Adders: Analysis and Evaluation. NANOARCH 2021: 1-6 - 2020
- [j214]Yuying Zhu, Weiqiang Liu, Peipei Yin, Tian Cao, Jie Han, Fabrizio Lombardi:
Design, evaluation and application of approximate-truncated Booth multipliers. IET Circuits Devices Syst. 14(8): 1305-1317 (2020) - [j213]Jie Li, Shanshan Liu, Pedro Reviriego, Liyi Xiao, Fabrizio Lombardi:
Scheme for periodical concurrent fault detection in parallel CRC circuits. IET Comput. Digit. Tech. 14(2): 80-85 (2020) - [j212]Weiqiang Liu, Fabrizio Lombardi, Michael Shulte:
A Retrospective and Prospective View of Approximate Computing [Point of View}. Proc. IEEE 108(3): 394-399 (2020) - [j211]Weiqiang Liu, Maximilian John, Andreas Karrenbauer, Adam Allerhand, Fabrizio Lombardi, Michael Shulte, David J. Miller, Zhen Xiang, George Kesidis, Antti Oulasvirta, Niraj Ramesh Dayama, Morteza Shiripour:
Scanning the Issue. Proc. IEEE 108(3): 400-401 (2020) - [j210]Weiqiang Liu, Fabrizio Lombardi, Michael J. Schulte:
Approximate Computing: From Circuits to Applications [Scanning the Issue]. Proc. IEEE 108(12): 2103-2107 (2020) - [j209]Weiqiang Liu, Chongyan Gu, Máire O'Neill, Gang Qu, Paolo Montuschi, Fabrizio Lombardi:
Security in Approximate Computing and Approximate Computing for Security: Challenges and Opportunities. Proc. IEEE 108(12): 2214-2231 (2020) - [j208]Shanshan Liu, Pedro Reviriego, Xiaochen Tang, Wei Tang, Fabrizio Lombardi:
Result-Based Re-computation for Error-Tolerant Classification by a Support Vector Machine. IEEE Trans. Artif. Intell. 1(1): 62-73 (2020) - [j207]Shanshan Liu, Pedro Reviriego, Fabrizio Lombardi:
Codes for Limited Magnitude Error Correction in Multilevel Cell Memories. IEEE Trans. Circuits Syst. I Fundam. Theory Appl. 67-I(5): 1615-1626 (2020) - [j206]Jing Guo, Shanshan Liu, Lei Zhu, Fabrizio Lombardi:
Design and Evaluation of Low-Complexity Radiation Hardened CMOS Latch for Double-Node Upset Tolerance. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(6): 1925-1935 (2020) - [j205]Ke Chen, Weiqiang Liu, Jie Han, Fabrizio Lombardi:
Profile-Based Output Error Compensation for Approximate Arithmetic Circuits. IEEE Trans. Circuits Syst. 67-I(12): 4707-4718 (2020) - [j204]Shanshan Liu, Pedro Reviriego, Paolo Montuschi, Fabrizio Lombardi:
Error-Tolerant Computation for Voting Classifiers With Multiple Classes. IEEE Trans. Veh. Technol. 69(11): 13718-13727 (2020) - [c270]Yue Gao, Weiqiang Liu, Fabrizio Lombardi:
Design and Implementation of an Approximate Softmax Layer for Deep Neural Networks. ISCAS 2020: 1-5 - [c269]Guocai Nan, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
DC-LSTM: Deep Compressed LSTM with Low Bit-Width and Structured Matrices. ISCAS 2020: 1-5
2010 – 2019
- 2019
- [j203]Junqi Huang, T. Nandha Kumar, Haider Abbas, Fabrizio Lombardi:
Approximate computing using frequency upscaling. IET Circuits Devices Syst. 13(7): 1018-1026 (2019) - [j202]Jilin W. J. L. Wang, Fabrizio Lombardi, Xiyun Zhang, Christelle Anaclet, Plamen Ch. Ivanov:
Non-equilibrium critical dynamics of bursts in θ and δ rhythms as fundamental characteristic of sleep and wake micro-architecture. PLoS Comput. Biol. 15(11) (2019) - [j201]Kazuteru Namba, Fabrizio Lombardi:
Coding for Write Latency Reduction in a Multi-Level Cell (MLC) Phase Change Memory (PCM). IEEE Trans. Computers 68(2): 301-306 (2019) - [j200]Ke Chen, Linbin Chen, Pedro Reviriego, Fabrizio Lombardi:
Efficient Implementations of Reduced Precision Redundancy (RPR) Multiply and Accumulate (MAC). IEEE Trans. Computers 68(5): 784-790 (2019) - [j199]Pedro Reviriego, Shanshan Liu, Ori Rottenstreich, Fabrizio Lombardi:
Two Bit Overlap: A Class of Double Error Correction One Step Majority Logic Decodable Codes. IEEE Trans. Computers 68(5): 798-803 (2019) - [j198]Weiqiang Liu, Tian Cao, Peipei Yin, Yuying Zhu, Chenghua Wang, Earl E. Swartzlander Jr., Fabrizio Lombardi:
Design and Analysis of Approximate Redundant Binary Multipliers. IEEE Trans. Computers 68(6): 804-819 (2019) - [j197]Honglan Jiang, Leibo Liu, Fabrizio Lombardi, Jie Han:
Low-Power Unsigned Divider and Square Root Circuit Designs Using Adaptive Approximation. IEEE Trans. Computers 68(11): 1635-1646 (2019) - [j196]Honglan Jiang, Cong Liu, Fabrizio Lombardi, Jie Han:
Low-Power Approximate Unsigned Multipliers With Configurable Error Recovery. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(1): 189-202 (2019) - [j195]Honglan Jiang, Leibo Liu, Pieter P. Jonker, Duncan G. Elliott, Fabrizio Lombardi, Jie Han:
A High-Performance and Energy-Efficient FIR Adaptive Filter Using Approximate Distributed Arithmetic Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(1): 313-326 (2019) - [j194]Junqi Huang, T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
A Deterministic Low-Complexity Approximate (Multiplier-Less) Technique for DCT Computation. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(8): 3001-3014 (2019) - [j193]Weiqiang Liu, Qicong Liao, Fei Qiao, Weijie Xia, Chenghua Wang, Fabrizio Lombardi:
Approximate Designs for Fast Fourier Transform (FFT) With Application to Speech Recognition. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(12): 4727-4739 (2019) - [j192]Weiqiang Liu, Lei Zhang, Zhengran Zhang, Chongyan Gu, Chenghua Wang, Máire O'Neill, Fabrizio Lombardi:
XOR-Based Low-Cost Reconfigurable PUFs for IoT Security. ACM Trans. Embed. Comput. Syst. 18(3): 25:1-25:21 (2019) - [j191]Yidong Liu, Leibo Liu, Fabrizio Lombardi, Jie Han:
An Energy-Efficient and Noise-Tolerant Recurrent Neural Network Using Stochastic Computing. IEEE Trans. Very Large Scale Integr. Syst. 27(9): 2213-2221 (2019) - [j190]Jing Guo, Shanshan Liu, Lei Zhu, Fabrizio Lombardi:
A CMOS Majority Logic Gate and its Application to One-Step ML Decodable Codes. IEEE Trans. Very Large Scale Integr. Syst. 27(11): 2620-2628 (2019) - [c268]Shanshan Liu, Pedro Reviriego, Kazuteru Namba, Salvatore Pontarelli, Liyi Xiao, Fabrizio Lombardi:
Low Redundancy Double Error Correction Spotty Codes Combined with Gray Coding for 64 Data Bits Memories of 4-bit Multilevel Cells. DFT 2019: 1-4 - [c267]Honglan Jiang, Francisco J. H. Santiago, Mohammad Saeed Ansari, Leibo Liu, Bruce F. Cockburn, Fabrizio Lombardi, Jie Han:
Characterizing Approximate Adders and Multipliers Optimized under Different Design Constraints. ACM Great Lakes Symposium on VLSI 2019: 393-398 - [c266]Tingting Zhang, Weiqiang Liu, Jie Han, Fabrizio Lombardi:
Design and Analysis of Majority Logic Based Approximate Radix-4 Booth Encoders. NANOARCH 2019: 1-6 - [c265]Haroon Waris, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
Design and Evaluation of a Power-Efficient Approximate Systolic Array Architecture for Matrix Multiplication. SiPS 2019: 13-18 - [p1]Honglan Jiang, Leibo Liu, Fabrizio Lombardi, Jie Han:
Approximate Arithmetic Circuits: Design and Evaluation. Approximate Circuits 2019: 67-98 - 2018
- [j189]Yidong Liu, Yanzhi Wang, Fabrizio Lombardi, Jie Han:
An Energy-Efficient Online-Learning Stochastic Computational Deep Belief Network. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(3): 454-465 (2018) - [j188]Haider A. F. Almurib, Thulasiraman Nandha Kumar, Fabrizio Lombardi:
Approximate DCT Image Compression Using Inexact Computing. IEEE Trans. Computers 67(2): 149-159 (2018) - [j187]Yidong Liu, Siting Liu, Yanzhi Wang, Fabrizio Lombardi, Jie Han:
A Stochastic Computational Multi-Layer Perceptron with Backward Propagation. IEEE Trans. Computers 67(9): 1273-1286 (2018) - [j186]Kazuteru Namba, Fabrizio Lombardi:
A Single and Adjacent Error Correction Code for Fast Decoding of Critical Bits. IEEE Trans. Computers 67(10): 1525-1531 (2018) - [j185]Weiqiang Liu, Jiahua Xu, Danye Wang, Chenghua Wang, Paolo Montuschi, Fabrizio Lombardi:
Design and Evaluation of Approximate Logarithmic Multipliers for Low Power Error-Tolerant Applications. IEEE Trans. Circuits Syst. I Regul. Pap. 65-I(9): 2856-2868 (2018) - [j184]Linbin Chen, Jie Han, Weiqiang Liu, Paolo Montuschi, Fabrizio Lombardi:
Design, Evaluation and Application of Approximate High-Radix Dividers. IEEE Trans. Multi Scale Comput. Syst. 4(3): 299-312 (2018) - [j183]Kazuteru Namba, Fabrizio Lombardi:
On Coding for Endurance Enhancement and Error Control of Phase Change Memories With Write Latency Reduction. IEEE Trans. Very Large Scale Integr. Syst. 26(2): 230-238 (2018) - [j182]Xiao-Ping Cui, Weiqiang Liu, Shumin Wang, Earl E. Swartzlander Jr., Fabrizio Lombardi:
Design of High-Speed Wide-Word Hybrid Parallel-Prefix/Carry-Select and Skip Adders. J. Signal Process. Syst. 90(3): 409-419 (2018) - [j181]Peipei Yin, Chenghua Wang, Weiqiang Liu, Earl E. Swartzlander Jr., Fabrizio Lombardi:
Designs of Approximate Floating-Point Multipliers with Variable Accuracy for Error-Tolerant Applications. J. Signal Process. Syst. 90(4): 641-654 (2018) - [c264]Weiqiang Liu, Jing Li, Tao Xu, Chenghua Wang, Paolo Montuschi, Fabrizio Lombardi:
Combining Restoring Array and Logarithmic Dividers into an Approximate Hybrid Design. ARITH 2018: 92-98 - [c263]Yidong Liu, Yanzhi Wang, Fabrizio Lombardi, Jie Han:
An energy-efficient stochastic computational deep belief network. DATE 2018: 1175-1178 - [c262]Honglan Jiang, Leibo Liu, Fabrizio Lombardi, Jie Han:
Adaptive approximation in arithmetic circuits: A low-power unsigned divider design. DATE 2018: 1411-1416 - [c261]Pilin Junsangsri, Fabrizio Lombardi:
Multiple Fault Detection in Nano Programmable Logic Arrays. DFT 2018: 1-6 - [c260]Peipei Yin, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
Design of Dynamic Range Approximate Logarithmic Multipliers. ACM Great Lakes Symposium on VLSI 2018: 423-426 - [c259]Haroon Waris, Weiqiang Liu, Pengfei Huang, Ruizhe Ma, Chenghua Wang, Fabrizio Lombardi:
Design Exploration of Small Bit-Width Multipliers Using Approximate Logic Design (ALD) Tool. DSP 2018: 1-5 - [c258]Ke Chen, Jie Han, Paolo Montuschi, Weiqiang Liu, Fabrizio Lombardi:
Design and Application of an Approximate 2-D Convolver with Error Compensation. ISCAS 2018: 1-5 - [c257]Qicong Liao, Weiqiang Liu, Fei Qiao, Chenghua Wang, Fabrizio Lombardi:
Design of Approximate FFT with Bit-width Selection Algorithms. ISCAS 2018: 1-5 - [c256]Tingting Zhang, Weiqiang Liu, Emma McLarnon, Máire O'Neill, Fabrizio Lombardi:
Design of Majority Logic (ML) Based Approximate Full Adders. ISCAS 2018: 1-5 - [c255]Pengfei Huang, Chenghua Wang, Ruizhe Ma, Weiqiang Liu, Fabrizio Lombardi:
A Hardware/Software Co-design Method for Approximate Semi-Supervised K-Means Clustering. ISVLSI 2018: 575-580 - [c254]Yuying Zhu, Weiqiang Liu, Jie Han, Fabrizio Lombardi:
A Probabilistic Error Model and Framework for Approximate Booth Multipliers. NANOARCH 2018: 7-12 - [c253]Linbin Chen, Pilin Junsangsri, Pedro Reviriego, Fabrizio Lombardi:
CCE: A Combined SRAM and Non Volatile Cache for Endurance of Next Generation Multilevel Non Volatile Memories in Embedded Systems. NANOARCH 2018: 58-64 - 2017
- [j180]Peican Zhu, Yangming Guo, Fabrizio Lombardi, Jie Han:
Approximate reliability of multi-state two-terminal networks by stochastic analysis. IET Networks 6(5): 116-124 (2017) - [j179]Honglan Jiang, Cong Liu, Leibo Liu, Fabrizio Lombardi, Jie Han:
A Review, Classification, and Comparative Evaluation of Approximate Arithmetic Circuits. ACM J. Emerg. Technol. Comput. Syst. 13(4): 60:1-60:34 (2017) - [j178]Ke Chen, Jie Han, Fabrizio Lombardi:
Two Approximate Voting Schemes for Reliable Computing. IEEE Trans. Computers 66(7): 1227-1239 (2017) - [j177]Weiqiang Liu, Liangyu Qian, Chenghua Wang, Honglan Jiang, Jie Han, Fabrizio Lombardi:
Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant Computing. IEEE Trans. Computers 66(8): 1435-1441 (2017) - [j176]Vikramkumar Pudi, K. Sridharan, Fabrizio Lombardi:
Majority Logic Formulations for Parallel Adder Designs at Reduced Delay and Circuit Complexity. IEEE Trans. Computers 66(10): 1824-1830 (2017) - [j175]Xiao-Ping Cui, Wenwen Dong, Weiqiang Liu, Earl E. Swartzlander Jr., Fabrizio Lombardi:
High Performance Parallel Decimal Multipliers Using Hybrid BCD Codes. IEEE Trans. Computers 66(12): 1994-2004 (2017) - [j174]Fabrizio Lombardi:
Message from the Editor-in-Chief. IEEE Trans. Emerg. Top. Comput. 5(1): 3-4 (2017) - [j173]Linbin Chen, Jie Han, Weiqiang Liu, Fabrizio Lombardi:
Algorithm and Design of a Fully Parallel Approximate Coordinate Rotation Digital Computer (CORDIC). IEEE Trans. Multi Scale Comput. Syst. 3(3): 139-151 (2017) - [c252]H. Junqi, T. Nandha Kumar, Haider Abbas, Fabrizio Lombardi:
Simulation-based evaluation of frequency upscaled operation of exact/approximate ripple carry adders. DFT 2017: 1-6 - [c251]Pilin Junsangsri, Fabrizio Lombardi, Salin Junsangsri, Martin Margala:
Design of a Low-Power Non-Volatile Programmable Inverter Cell for COGRE-based Circuits. ACM Great Lakes Symposium on VLSI 2017: 11-16 - [c250]Weiqiang Liu, Jiahua Xu, Danye Wang, Fabrizio Lombardi:
Design of Approximate Logarithmic Multipliers. ACM Great Lakes Symposium on VLSI 2017: 47-52 - [c249]Linbin Chen, Fabrizio Lombardi, Paolo Montuschi, Jie Han, Weiqiang Liu:
Design of Approximate High-Radix Dividers by Inexact Binary Signed-Digit Addition. ACM Great Lakes Symposium on VLSI 2017: 293-298 - [c248]Carson Labrado, Himanshu Thapliyal, Fabrizio Lombardi:
Design of majority logic based approximate arithmetic circuits. ISCAS 2017: 1-4 - [c247]Lei Zhang, Chenghua Wang, Weiqiang Liu, Máire O'Neill, Fabrizio Lombardi:
XOR gate based low-cost configurable RO PUF. ISCAS 2017: 1-4 - [c246]Ke Chen, Fabrizio Lombardi, Jie Han:
Partially universal modules for high performance logic circuit design. MWSCAS 2017: 108-111 - [c245]Linbin Chen, Jie Han, Weiqiang Liu, Fabrizio Lombardi:
Design and operational assessment of an intra-cell hybrid L2 cache. NANOARCH 2017: 1-6 - [c244]Salin Junsangsri, Fabrizio Lombardi:
AOI-based data-centric circuits for near-memory processing. NANOARCH 2017: 7-12 - 2016
- [j172]Anirban Sengupta, Saraju P. Mohanty, Fabrizio Lombardi, Mark Zwolinski:
IEEE Access Special Section Editorial: Security and Reliability Aware System Design for Mobile Computing Devices. IEEE Access 4: 2976-2980 (2016) - [j171]T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
Current-Based Testing, Modeling and Monitoring for Operational Deterioration of a Memristor-Based LUT. J. Electron. Test. 32(5): 587-599 (2016) - [j170]Haider Abbas F. Almurib, Thulasiraman Nandha Kumar, Fabrizio Lombardi:
Design and evaluation of a memristor-based look-up table for non-volatile field programmable gate arrays. IET Circuits Devices Syst. 10(4): 292-300 (2016) - [j169]Pilin Junsangsri, Jie Han, Fabrizio Lombardi:
Design of a hybrid non-volatile SRAM cell for concurrent SEU detection and correction. Integr. 52: 156-167 (2016) - [j168]Geunho Cho, Fabrizio Lombardi:
Design and process variation analysis of CNTFET-based ternary memory cells. Integr. 54: 97-108 (2016) - [j167]T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
Design of a memristor-based look-up table (LUT) for low-energy operation of FPGAs. Integr. 55: 1-11 (2016) - [j166]Peican Zhu, Jie Han, Yangming Guo, Fabrizio Lombardi:
Reliability and Criticality Analysis of Communication Networks by Stochastic Computation. IEEE Netw. 30(6): 70-76 (2016) - [j165]Weiqiang Liu, Linbin Chen, Chenghua Wang, Máire O'Neill, Fabrizio Lombardi:
Design and Analysis of Inexact Floating-Point Adders. IEEE Trans. Computers 65(1): 308-314 (2016) - [j164]Wei Wei, Kazuteru Namba, Yong-Bin Kim, Fabrizio Lombardi:
A Novel Scheme for Tolerating Single Event/Multiple Bit Upsets (SEU/MBU) in Non-Volatile Memories. IEEE Trans. Computers 65(3): 781-790 (2016) - [j163]Xiao-Ping Cui, Weiqiang Liu, Chen Xin, Earl E. Swartzlander Jr., Fabrizio Lombardi:
A Modified Partial Product Generator for Redundant Binary Multipliers. IEEE Trans. Computers 65(4): 1165-1171 (2016) - [j162]Kazuteru Namba, Fabrizio Lombardi:
Single Multiscale-Symbol Error Correction Codes for Multiscale Storage Systems. IEEE Trans. Computers 65(6): 2005-2009 (2016) - [j161]Linbin Chen, Jie Han, Weiqiang Liu, Fabrizio Lombardi:
On the Design of Approximate Restoring Dividers for Error-Tolerant Applications. IEEE Trans. Computers 65(8): 2522-2533 (2016) - [j160]Honglan Jiang, Jie Han, Fei Qiao, Fabrizio Lombardi:
Approximate Radix-8 Booth Multipliers for Low-Power and High-Performance Operation. IEEE Trans. Computers 65(8): 2638-2644 (2016) - [j159]Kazuteru Namba, Fabrizio Lombardi:
Parallel Decodable Multi-Level Unequal Burst Error Correcting Codes for Memories of Approximate Systems. IEEE Trans. Computers 65(12): 3794-3801 (2016) - [j158]Fabrizio Lombardi:
Message From the Editor-in-Chief. IEEE Trans. Emerg. Top. Comput. 4(1): 3-4 (2016) - [j157]Kazuteru Namba, Fabrizio Lombardi:
A Coding Scheme for Write Time Improvement of Phase Change Memory (PCM) Systems. IEEE Trans. Multi Scale Comput. Syst. 2(4): 291-296 (2016) - [j156]Peican Zhu, Jie Han, Leibo Liu, Fabrizio Lombardi:
Reliability Evaluation of Phased-Mission Systems Using Stochastic Computation. IEEE Trans. Reliab. 65(3): 1612-1623 (2016) - [j155]Fabrizio Lombardi:
Welcome. IEEE Trans. Sustain. Comput. 1(1): 1-2 (2016) - [j154]Pilin Junsangsri, Jie Han, Fabrizio Lombardi:
Logic-in-Memory With a Nonvolatile Programmable Metallization Cell. IEEE Trans. Very Large Scale Integr. Syst. 24(2): 521-529 (2016) - [c243]Xiao-Ping Cui, Weiqiang Liu, Dong Wenwen, Fabrizio Lombardi:
A Parallel Decimal Multiplier Using Hybrid Binary Coded Decimal (BCD) Codes. ARITH 2016: 150-155 - [c242]Haider A. F. Almurib, T. Nandha Kumar, Fabrizio Lombardi:
Inexact designs for approximate low power addition by cell replacement. DATE 2016: 660-665 - [c241]Ke Chen, Fabrizio Lombardi, Jie Han:
Design and analysis of an approximate 2D convolver. DFT 2016: 31-34 - [c240]Salin Junsangsri, Jie Han, Fabrizio Lombardi:
A Design of a Non-Volatile PMC-Based (Programmable Metallization Cell) Register File. ACM Great Lakes Symposium on VLSI 2016: 21-26 - [c239]Wei Wei, Kazuteru Namba, Fabrizio Lombardi:
Design and Comparative Evaluation of a Hybrid Cache Memory at Architectural Level. ACM Great Lakes Symposium on VLSI 2016: 125-128 - [c238]Yijun Cui, Chenghua Wang, Weiqiang Liu, Yifei Yu, Máire O'Neill, Fabrizio Lombardi:
Low-cost configurable ring oscillator PUF with improved uniqueness. ISCAS 2016: 558-561 - [c237]Liangyu Qian, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi, Jie Han:
Design and evaluation of an approximate Wallace-Booth multiplier. ISCAS 2016: 1974-1977 - [c236]Honglan Jiang, Chengkun Shen, Pieter P. Jonker, Fabrizio Lombardi, Jie Han:
Adaptive Filter Design Using Stochastic Circuits. ISVLSI 2016: 122-127 - [c235]Peipei Yin, Chenghua Wang, Weiqiang Liu, Fabrizio Lombardi:
Design and Performance Evaluation of Approximate Floating-Point Multipliers. ISVLSI 2016: 296-301 - [c234]Tian Cao, Weiqiang Liu, Chenghua Wang, Xiao-Ping Cui, Fabrizio Lombardi:
Design of approximate Redundant Binary multipliers. NANOARCH 2016: 31-36 - [c233]Honglan Jiang, Cong Liu, Naman Maheshwari, Fabrizio Lombardi, Jie Han:
A comparative evaluation of approximate multipliers. NANOARCH 2016: 191-196 - [c232]Linbin Chen, Fabrizio Lombardi, Jie Han, Weiqiang Liu:
A fully parallel approximate CORDIC design. NANOARCH 2016: 197-202 - 2015
- [j153]Amir Momeni, Jie Han, Paolo Montuschi, Fabrizio Lombardi:
Design and Analysis of Approximate Compressors for Multiplication. IEEE Trans. Computers 64(4): 984-994 (2015) - [j152]Cong Liu, Jie Han, Fabrizio Lombardi:
An Analytical Framework for Evaluating the Error Characteristics of Approximate Adders. IEEE Trans. Computers 64(5): 1268-1281 (2015) - [j151]Kazuteru Namba, Fabrizio Lombardi:
Non-Binary Orthogonal Latin Square Codes for a Multilevel Phase Charge Memory (PCM). IEEE Trans. Computers 64(7): 2092-2097 (2015) - [j150]Kazuteru Namba, Fabrizio Lombardi:
Parallel Decodable Two-Level Unequal Burst Error Correcting Codes. IEEE Trans. Computers 64(10): 2902-2911 (2015) - [j149]Fabrizio Lombardi:
EIC Message. IEEE Trans. Emerg. Top. Comput. 3(1): 3-4 (2015) - [j148]Pilin Junsangsri, Jie Han, Fabrizio Lombardi:
Circuits for a Perpendicular Magnetic Anisotropic (PMA) Racetrack Memory. IEEE Trans. Multi Scale Comput. Syst. 1(3): 127-137 (2015) - [j147]Peican Zhu, Jie Han, Leibo Liu, Fabrizio Lombardi:
A Stochastic Approach for the Analysis of Dynamic Fault Trees With Spare Gates Under Probabilistic Common Cause Failures. IEEE Trans. Reliab. 64(3): 878-892 (2015) - [j146]Ke Chen, Jie Han, Fabrizio Lombardi:
On the Nonvolatile Performance of Flip-Flop/SRAM Cells With a Single MTJ. IEEE Trans. Very Large Scale Integr. Syst. 23(6): 1160-1164 (2015) - [j145]Jie Han, Eugene Leung, Leibo Liu, Fabrizio Lombardi:
A Fault-Tolerant Technique Using Quadded Logic and Quadded Transistors. IEEE Trans. Very Large Scale Integr. Syst. 23(8): 1562-1566 (2015) - [j144]Ke Chen, Jie Han, Fabrizio Lombardi:
On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells. IEEE Trans. Very Large Scale Integr. Syst. 23(11): 2695-2699 (2015) - [c231]Ke Chen, Fabrizio Lombardi, Jie Han:
An approximate voting scheme for reliable computing. DATE 2015: 293-296 - [c230]T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
Operational fault detection and monitoring of a memristor-based LUT. DATE 2015: 429-434 - [c229]Salin Junsangsri, Fabrizio Lombardi, Jie Han:
Evaluating the impact of spike and flicker noise in phase change memories. DFTS 2015: 1-6 - [c228]Zhixi Yang, Jie Han, Fabrizio Lombardi:
Approximate compressors for error-resilient multiplier design. DFTS 2015: 183-186 - [c227]Linbin Chen, Jie Han, Weiqiang Liu, Fabrizio Lombardi:
Design of Approximate Unsigned Integer Non-restoring Divider for Inexact Computing. ACM Great Lakes Symposium on VLSI 2015: 51-56 - [c226]Fabrizio Lombardi, Wei Wei, Kazuteru Namba:
Novel Designs of Embedded Hybrid Cells for High Performance Memory Circuits. ACM Great Lakes Symposium on VLSI 2015: 91-94 - [c225]Pilin Junsangsri, Fabrizio Lombardi, Jie Han:
A Ternary Content Addressable Cell Using a Single Phase Change Memory (PCM). ACM Great Lakes Symposium on VLSI 2015: 259-264 - [c224]Honglan Jiang, Jie Han, Fabrizio Lombardi:
A Comparative Review and Evaluation of Approximate Adders. ACM Great Lakes Symposium on VLSI 2015: 343-348 - [c223]Zhixi Yang, Jie Han, Fabrizio Lombardi:
Transmission gate-based approximate adders for inexact computing. NANOARCH 2015: 145-150 - [c222]Ke Chen, Fabrizio Lombardi, Jie Han:
Matrix multiplication by an inexact systolic array. NANOARCH 2015: 151-156 - [c221]Naman Maheshwari, Zhixi Yang, Jie Han, Fabrizio Lombardi:
A Design Approach for Compressor Based Approximate Multipliers. VLSID 2015: 209-214 - 2014
- [j143]Wei Wei, Jie Han, Fabrizio Lombardi:
Robust HSPICE modeling of a single electron turnstile. Microelectron. J. 45(4): 394-407 (2014) - [j142]Jie Han, Hao Chen, Jinghang Liang, Peican Zhu, Zhixi Yang, Fabrizio Lombardi:
A Stochastic Computational Approach for Accurate and Efficient Reliability Evaluation. IEEE Trans. Computers 63(6): 1336-1350 (2014) - [j141]Haider A. F. Almurib, T. Nandha Kumar, Fabrizio Lombardi:
Scalable Application-Dependent Diagnosisof Interconnects of SRAM-Based FPGAs. IEEE Trans. Computers 63(6): 1540-1550 (2014) - [j140]Fabrizio Lombardi:
Eic Message. IEEE Trans. Emerg. Top. Comput. 2(3): 252-253 (2014) - [c220]T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
A novel design of a memristor-based look-up table (LUT) for FPGA. APCCAS 2014: 703-706 - [c219]Pilin Junsangsri, Fabrizio Lombardi, Jie Han:
A hybrid non-volatile SRAM cell with concurrent SEU detection and correction. DATE 2014: 1-4 - [c218]Cong Liu, Jie Han, Fabrizio Lombardi:
A low-power, high-performance approximate multiplier with configurable partial error recovery. DATE 2014: 1-4 - [c217]Pilin Junsangsri, Jie Han, Fabrizio Lombardi:
A system-level scheme for resistance drift tolerance of a multilevel phase change memory. DFT 2014: 63-68 - [c216]Wei Wei, Fabrizio Lombardi, Kazuteru Namba:
Designs and analysis of non-volatile memory cells for single event upset (SEU) tolerance. DFT 2014: 69-74 - [c215]Wei Wei, Kazuteru Namba, Fabrizio Lombardi:
New 4T-based DRAM cell designs. ACM Great Lakes Symposium on VLSI 2014: 199-204 - [c214]Linbin Chen, Fabrizio Lombardi, Jie Han:
FDSOI SRAM cells for low power design at 22nm technology node. MWSCAS 2014: 527-530 - [c213]Linbin Chen, Fabrizio Lombardi, Jie Han:
An enhanced HSPICE macromodel of a PCM cell with threshold switching and recovery behavior. MWSCAS 2014: 993-996 - [c212]Pilin Junsangsri, Fabrizio Lombardi, Jie Han:
A memristor-based TCAM (Ternary Content Addressable Memory) cell. NANOARCH 2014: 1-6 - [c211]Pilin Junsangsri, Fabrizio Lombardi, Jie Han:
HSPICE macromodel of a Programmable Metallization Cell (PMC) and its application to memory design. NANOARCH 2014: 45-50 - [c210]Haider A. F. Almurib, T. Nandha Kumar, Fabrizio Lombardi:
A memristor-based LUT for FPGAs. NEMS 2014: 448-453 - 2013
- [j139]Wei Wei, Kazuteru Namba, Fabrizio Lombardi:
Extending Non-Volatile Operation to DRAM Cells. IEEE Access 1: 758-769 (2013) - [j138]Silvia Scarpetta, Ferdinando Giacco, Fabrizio Lombardi, Antonio de Candia:
Effects of Poisson noise in a IF model with STDP and spontaneous replay of periodic spatiotemporal patterns, in absence of cue stimulation. Biosyst. 112(3): 258-264 (2013) - [j137]Geunho Cho, Fabrizio Lombardi:
On the Delay of a CNTFET with Undeposited CNTs by Gate Width Adjustment. J. Electron. Test. 29(3): 261-273 (2013) - [j136]T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
Single-configuration fault detection in applicationdependent testing of field programmable gate array interconnects. IET Comput. Digit. Tech. 7(3) (2013) - [j135]T. Nandha Kumar, Fabrizio Lombardi:
A Novel Heuristic Method for Application-Dependent Testing of a SRAM-Based FPGA Interconnect. IEEE Trans. Computers 62(1): 163-172 (2013) - [j134]Jinghang Liang, Jie Han, Fabrizio Lombardi:
Analysis of Error Masking and Restoring Properties of Sequential Circuits. IEEE Trans. Computers 62(9): 1694-1704 (2013) - [j133]Jinghang Liang, Jie Han, Fabrizio Lombardi:
New Metrics for the Reliability of Approximate and Probabilistic Adders. IEEE Trans. Computers 62(9): 1760-1771 (2013) - [c209]Kazuteru Namba, Fabrizio Lombardi:
A novel scheme for concurrent error detection of OLS parallel decoders. DFTS 2013: 52-57 - [c208]Stefano Campitelli, Marco Ottavi, Salvatore Pontarelli, Alessandro Marchioro, Daniele Felici, Fabrizio Lombardi:
F-DICE: A multiple node upset tolerant flip-flop for highly radioactive environments. DFTS 2013: 107-111 - [c207]Geunho Cho, Fabrizio Lombardi:
A novel and improved design of a ternary CNTFET-based cell. ACM Great Lakes Symposium on VLSI 2013: 131-136 - [c206]Hao Wu, Fabrizio Lombardi, Jie Han:
A PCM-based TCAM cell using NDR. NANOARCH 2013: 89-94 - 2012
- [c205]Yang Lu, Fabrizio Lombardi, Salvatore Pontarelli, Marco Ottavi:
On the design of two single event tolerant slave latches for scan delay testing. DFT 2012: 67-72 - [c204]Jianping Gong, Yong-Bin Kim, Fabrizio Lombardi, Jie Han:
Hardening a memory cell for low power operation by gate leakage reduction. DFT 2012: 73-78 - [c203]Fabrizio Lombardi, Nohpill Park, Haider A. F. Almurib, T. Nandha Kumar:
On the multiple fault detection of a nano crossbar. DFT 2012: 134-139 - [c202]Martin Omaña, Daniele Rossi, G. Collepalumbo, Cecilia Metra, Fabrizio Lombardi:
Faults affecting the control blocks of PV arrays and techniques for their concurrent detection. DFT 2012: 199-204 - [c201]Fabrizio Lombardi, Wei Wei, Jie Han:
Modeling a single electron turnstile in HSPICE. ACM Great Lakes Symposium on VLSI 2012: 221-226 - [c200]Pilin Junsangsri, Fabrizio Lombardi:
A memristor-based TCAM (ternary content addressable memory) cell: design and evaluation. ACM Great Lakes Symposium on VLSI 2012: 311-314 - [c199]T. Nandha Kumar, Haider A. F. Almurib, Fabrizio Lombardi:
Locating faults in application-dependent interconnects of SRAM based FPGAs. ICCD 2012: 453-459 - [c198]Yongsuk Choi, Yong-Bin Kim, Fabrizio Lombardi:
Soft error masking latch for sub-threshold voltage operation. MWSCAS 2012: 25-28 - [c197]In-Seok Jung, Yong-Bin Kim, Fabrizio Lombardi:
A novel sort error hardened 10T SRAM cells for low voltage operation. MWSCAS 2012: 714-717 - [c196]Pilin Junsangsri, Fabrizio Lombardi, Jie Han:
Macromodeling a phase change memory (PCM) cell by HSPICE. NANOARCH 2012: 77-84 - [c195]Jinghang Liang, Jie Han, Linbin Chen, Fabrizio Lombardi:
Design and reliability analysis of multiple valued logic gates using carbon nanotube FETs. NANOARCH 2012: 131-138 - [c194]Vikas Sakode, Fabrizio Lombardi, Jie Han:
Cell design and comparative evaluation of a novel 1T memristor-based memory. NANOARCH 2012: 152-159 - [c193]Angelo Giuseppe Ruotolo, Marco Ottavi, Salvatore Pontarelli, Fabrizio Lombardi:
A novel write-scheme for data integrity in memristor-based crossbar memories. NANOARCH 2012: 168-173 - 2011
- [j132]Fabrizio Lombardi:
Editorial. IEEE Trans. Computers 60(1): 1 (2011) - [j131]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
A 11-Transistor Nanoscale CMOS Memory Cell for Hardening to Soft Errors. IEEE Trans. Very Large Scale Integr. Syst. 19(5): 900-904 (2011) - [j130]S. Lin, Y.-B. Kim, Fabrizio Lombardi:
Design and Performance Evaluation of Radiation Hardened Latches for Nanoscale CMOS. IEEE Trans. Very Large Scale Integr. Syst. 19(7): 1315-1319 (2011) - [c192]Haider A. F. Almurib, T. Nandha Kumar, Fabrizio Lombardi:
A Single-Configuration Method for Application-Dependent Testing of SRAM-based FPGA Interconnects. Asian Test Symposium 2011: 444-450 - [c191]Jinghang Liang, Jie Han, Fabrizio Lombardi:
On the Reliable Performance of Sequential Adders for Soft Computing. DFT 2011: 3-10 - [c190]Hao Chen, Jie Han, Fabrizio Lombardi:
A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits. DFT 2011: 60-67 - [c189]Masoud Zamani, Hossein Pedram, Fabrizio Lombardi:
Templated-Based Asynchronous Design for Testable and Fail-Safe Operation. DFT 2011: 146-152 - [c188]Nachiket Rajderkar, Marco Ottavi, Salvatore Pontarelli, Jie Han, Fabrizio Lombardi:
On the Effects of Intra-gate Resistive Open Defects in Gates at Nanoscaled CMOS. DFT 2011: 309-315 - [c187]Geunho Cho, Fabrizio Lombardi:
On the Delay Analysis of Defective CNTFETs with Undeposited CNTs. DFT 2011: 419-425 - [c186]Pilin Junsangsri, Fabrizio Lombardi:
A memristor-based memory cell using ambipolar operation. ICCD 2011: 148-153 - [c185]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
Modeling and design of a nanoscale memory cell for hardening to a single event with multiple node upset. ICCD 2011: 320-325 - [c184]Wei Wei, Jie Han, Fabrizio Lombardi:
A hybrid memory cell using Single-Electron transfer. NANOARCH 2011: 16-23 - 2010
- [j129]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high stability. Integr. 43(2): 176-187 (2010) - [j128]Jianwei Dai, Lei Wang, Fabrizio Lombardi:
An information-theoretic analysis of quantum-dot cellular automata for defect tolerance. ACM J. Emerg. Technol. Comput. Syst. 6(3): 9:1-9:19 (2010) - [j127]Fabrizio Lombardi:
State of the Journal. IEEE Trans. Computers 59(2): 145-149 (2010) - [j126]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
Multiple Error Detection in DNA Self-Assembly Using Coded Tiles. IEEE Trans. Circuits Syst. II Express Briefs 57-II(9): 725-729 (2010) - [c183]Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi:
An Analytical Error Model for Pattern Clipping in DNA Self-Assembly. DFT 2010: 7-15 - [c182]Pilin Junsangsri, Fabrizio Lombardi:
Time/Temperature Degradation of Solar Cells under the Single Diode Model. DFT 2010: 240-248 - [c181]Anant Narayan Hariharan, Salvatore Pontarelli, Marco Ottavi, Fabrizio Lombardi:
Modeling Open Defects in Nanometric Scale CMOS. DFT 2010: 249-257 - [c180]Geunho Cho, Fabrizio Lombardi, Yong-Bin Kim:
Modelling a CNTFET with Undeposited CNT Defects. DFT 2010: 289-296 - [c179]Young Bok Kim, Yong-Bin Kim, Fabrizio Lombardi:
8Gb/s capacitive low power and high speed 4-PWAM transceiver design. ACM Great Lakes Symposium on VLSI 2010: 33-38 - [c178]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
Read-out schemes for a CNTFET-based crossbar memory. ACM Great Lakes Symposium on VLSI 2010: 167-170 - [c177]Xiaojun Ma, Masoud Hashempour, Lei Wang, Fabrizio Lombardi:
Manufacturing yield of QCA circuits by synthesized DNA self-assembled templates. ACM Great Lakes Symposium on VLSI 2010: 275-280 - [c176]Marco Ottavi, Salvatore Pontarelli, Erik DeBenedictis, Adelio Salsano, Peter M. Kogge, Fabrizio Lombardi:
High throughput and low power dissipation in QCA pipelines using Bennett clocking. NANOARCH 2010: 17-22 - [e3]R. Iris Bahar, Fabrizio Lombardi, David Atienza, Erik Brunvand:
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010. ACM 2010, ISBN 978-1-4503-0012-4 [contents]
2000 – 2009
- 2009
- [j125]Masaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi:
An Efficient Framework for Scalable Defect Isolation in Large Scale Networks of DNA Self-Assembly. J. Electron. Test. 25(1): 11-23 (2009) - [j124]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
Healing DNA Self-Assemblies Using Punctures. J. Electron. Test. 25(1): 25-37 (2009) - [j123]Xiaojun Ma, Jing Huang, Cecilia Metra, Fabrizio Lombardi:
Detecting Multiple Faults in One-Dimensional Arrays of Reversible QCA Gates. J. Electron. Test. 25(1): 39-54 (2009) - [j122]Faizal Karim, Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Konrad Walus, André Ivanov, Fabrizio Lombardi:
Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. J. Electron. Test. 25(1): 55-66 (2009) - [j121]Weiguo Tang, Lei Wang, Fabrizio Lombardi:
A defect/error-tolerant nanosystem architecture for DSP. ACM J. Emerg. Technol. Comput. Syst. 5(4): 18:1-18:22 (2009) - [j120]Xiaojun Ma, Fabrizio Lombardi:
On the Computational Complexity of Tile Set Synthesis for DNA Self-Assembly. IEEE Trans. Circuits Syst. II Express Briefs 56-II(1): 31-35 (2009) - [j119]Kyung Ki Kim, Yong-Bin Kim, Fabrizio Lombardi:
A Novel Statistical Timing and Leakage Power Characterization of Partially Depleted Silicon-on-Insulator Gates. IEEE Trans. Instrum. Meas. 58(2): 401-410 (2009) - [j118]Minsu Choi, Fabrizio Lombardi, Nohpill Park:
Introduction to the Special Section on Nanocircuits and Systems. IEEE Trans. Very Large Scale Integr. Syst. 17(4): 470-472 (2009) - [c175]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
A Novel Hardened Design of a CMOS Memory Cell at 32nm. DFT 2009: 58-64 - [c174]Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi:
Coded DNA Self-Assembly for Error Detection/Location. DFT 2009: 103-111 - [c173]Xiaojun Ma, Masoud Hashempour, Yong-Bin Kim, Fabrizio Lombardi:
Errors in DNA Self-Assembly by Synthesized Tile Sets. DFT 2009: 112-120 - [c172]Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi:
A coding framework for DNA self-assembly. NANOARCH 2009: 15-20 - [c171]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
Soft-Error Hardening Designs of Nanoscale CMOS Latches. VTS 2009: 41-46 - [e2]Fabrizio Lombardi, Sanjukta Bhanja, Yehia Massoud, R. Iris Bahar:
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009. ACM 2009, ISBN 978-1-60558-522-2 [contents] - 2008
- [j117]Hamidreza Hashempour, Fabrizio Lombardi:
Device Model for Ballistic CNFETs Using the First Conducting Band. IEEE Des. Test Comput. 25(2): 178-186 (2008) - [j116]Salvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Gian Carlo Cardarilli, Fabrizio Lombardi, Adelio Salsano:
Analysis and Evaluations of Reliability of Reconfigurable FPGAs. J. Electron. Test. 24(1-3): 105-116 (2008) - [j115]Xiaojun Ma, Fabrizio Lombardi:
Substrate Testing on a Multi-Site/Multi-Probe ATE. J. Electron. Test. 24(1-3): 193-201 (2008) - [j114]Byunghyun Jang, Yong-Bin Kim, Fabrizio Lombardi:
Monomer Control for Error Tolerance in DNA Self-Assembly. J. Electron. Test. 24(1-3): 271-284 (2008) - [j113]Xiaojun Ma, Jing Huang, Cecilia Metra, Fabrizio Lombardi:
Reversible Gates and Testability of One Dimensional Arrays of Molecular QCA. J. Electron. Test. 24(1-3): 297-311 (2008) - [j112]Xiaojun Ma, Jing Huang, Fabrizio Lombardi:
A model for computing and energy dissipation of molecular QCA devices and circuits. ACM J. Emerg. Technol. Comput. Syst. 3(4): 3:1-3:30 (2008) - [j111]Fabrizio Lombardi:
State of the Journal. IEEE Trans. Computers 57(1): 1-6 (2008) - [j110]Mohammad Hosseinabady, Shervin Sharifi, Fabrizio Lombardi, Zainalabedin Navabi:
A Selective Trigger Scan Architecture for VLSI Testing. IEEE Trans. Computers 57(3): 316-328 (2008) - [j109]Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi:
A Serial Memory by Quantum-Dot Cellular Automata (QCA). IEEE Trans. Computers 57(5): 606-618 (2008) - [j108]Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi:
Two-Dimensional Schemes for Clocking/Timing of QCA Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1): 34-44 (2008) - [j107]Xiaojun Ma, Fabrizio Lombardi:
Synthesis of Tile Sets for DNA Self-Assembly. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5): 963-967 (2008) - [j106]Kyung Ki Kim, Jing Huang, Yong-Bin Kim, Fabrizio Lombardi:
Analysis and Simulation of Jitter Sequences for Testing Serial Data Channels. IEEE Trans. Ind. Informatics 4(2): 134-143 (2008) - [j105]Hamidreza Hashempour, Fabrizio Lombardi:
Evaluation and Analysis of Heuristic Techniques for Vector Ordering of VLSI Test Sets. IEEE Trans. Instrum. Meas. 57(9): 1998-2004 (2008) - [c170]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
A Circuit Model for Fault Tolerance in the Reliable Assembly of Nano-systems. CSICC 2008: 705-713 - [c169]Stephen Frechette, Fabrizio Lombardi:
Error Detection/Correction in DNA Algorithmic Self-Assembly. DATE 2008: 1079-1082 - [c168]Xiaojun Ma, Fabrizio Lombardi:
Fault Tolerant Schemes for QCA Systems. DFT 2008: 236-244 - [c167]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
A Tile-Based Error Model for Forward Growth of DNA Self-Assembly. DFT 2008: 516-524 - [c166]Stephen Frechette, Yong-Bin Kim, Fabrizio Lombardi:
Checkpointing of Rectilinear Growth in DNA Self-Assembly. DFT 2008: 525-533 - [c165]Sheng Lin, Yong-Bin Kim, Fabrizio Lombardi:
A low leakage 9t sram cell for ultra-low power operation. ACM Great Lakes Symposium on VLSI 2008: 123-126 - [c164]Vamsi Vankamamidi, Fabrizio Lombardi:
Design of defect tolerant tile-based QCA circuits. ACM Great Lakes Symposium on VLSI 2008: 237-242 - [c163]Young Bok Kim, Yong-Bin Kim, Fabrizio Lombardi:
Low power 8T SRAM using 32nm independent gate FinFET technology. SoCC 2008: 247-250 - [c162]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
A Metric for Assessing the Error Tolerance of Tile Sets for Punctured DNA Self-Assemblies. VTS 2008: 275-282 - 2007
- [j104]Fabrizio Lombardi, Cecilia Metra:
Guest Editors' Introduction: The State of the Art in Nanoscale CAD. IEEE Des. Test Comput. 24(4): 302-303 (2007) - [j103]Jing Huang, Mariam Momenzadeh, Fabrizio Lombardi:
An Overview of Nanoscale Devices and Circuits. IEEE Des. Test Comput. 24(4): 304-311 (2007) - [j102]Jing Huang, Mariam Momenzadeh, Fabrizio Lombardi:
On the Tolerance to Manufacturing Defects in Molecular QCA Tiles for Processing-by-wire. J. Electron. Test. 23(2-3): 163-174 (2007) - [j101]Sanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli:
QCA Circuits for Robust Coplanar Crossing. J. Electron. Test. 23(2-3): 193-210 (2007) - [j100]Mohammad Hosseinabady, Pejman Lotfi-Kamran, Fabrizio Lombardi, Zainalabedin Navabi:
Low overhead DFT using CDFG by modifying controller. IET Comput. Digit. Tech. 1(4): 322-333 (2007) - [j99]Jing Huang, Mariam Momenzadeh, Fabrizio Lombardi:
Analysis of missing and additional cell defects in sequential quantum-dot cellular automata. Integr. 40(4): 503-515 (2007) - [j98]Jing Huang, Mariam Momenzadeh, Fabrizio Lombardi:
Design of sequential circuits by quantum-dot cellular automata. Microelectron. J. 38(4-5): 525-537 (2007) - [j97]André DeHon, Craig S. Lent, Fabrizio Lombardi:
Introduction to the Special Section on Nano Systems and Computing. IEEE Trans. Computers 56(2): 145-146 (2007) - [j96]Fabrizio Lombardi:
Editor's Note. IEEE Trans. Computers 56(6): 721-726 (2007) - [j95]Shanrui Zhang, Minsu Choi, Nohpill Park, Fabrizio Lombardi:
Cost-Driven Optimization of Coverage of Combined Built-In Self-Test/Automated Test Equipment Testing. IEEE Trans. Instrum. Meas. 56(3): 1094-1100 (2007) - [j94]Hamidreza Hashempour, Fabrizio Lombardi, Warren Necoechea, Rakesh Mehta, Tim Alton:
An Integrated Environment for Design Verification of ATE Systems. IEEE Trans. Instrum. Meas. 56(5): 1734-1743 (2007) - [c161]Hamidreza Hashempour, Fabrizio Lombardi:
Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs. DATE 2007: 841-846 - [c160]B. Jang, Y.-B. Kim, Fabrizio Lombardi:
Error rate reduction in DNA self-assembly by non-constant monomer concentrations and profiling. DATE 2007: 847-852 - [c159]Masaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi:
A Scalable Framework for Defect Isolation of DNA Self-assemlbled Networks. DFT 2007: 391-399 - [c158]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
Error Tolerance of DNA Self-Healing Assemblies by Puncturing. DFT 2007: 400-408 - [c157]Jing Huang, Xiaojun Ma, Cecilia Metra, Fabrizio Lombardi:
Testing Reversible One-Dimensional QCA Arrays for Multiple Faults. DFT 2007: 469-477 - [c156]Naghmeh Karimi, Shahrzad Mirkhani, Zainalabedin Navabi, Fabrizio Lombardi:
RT level reliability enhancement by constructing dynamic TMRS. ACM Great Lakes Symposium on VLSI 2007: 172-175 - [c155]Xiaojun Ma, Jing Huang, Fabrizio Lombardi:
Modeling facet roughening errors in self-assembly by snake tile sets. ITC 2007: 1-10 - [c154]Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi:
Robust self-assembly of interconnects by parallel DNA growth. NANOARCH 2007: 70-76 - [c153]Xiaojun Ma, Jing Huang, Fabrizio Lombardi:
Error Tolerance in DNA Self-Assembly by (2k-1) x (2k-1) Snake Tile Sets. VTS 2007: 131-140 - [i1]Luca Schiano, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano:
On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories. CoRR abs/0710.4750 (2007) - 2006
- [j93]Marco Ottavi, Luca Schiano, Fabrizio Lombardi, Douglas Tougaw:
HDLQ: A HDL environment for QCA design. ACM J. Emerg. Technol. Comput. Syst. 2(4): 243-261 (2006) - [j92]Viktor K. Prasanna, Fabrizio Lombardi:
Editors' Note. IEEE Trans. Computers 55(1): 1 (2006) - [j91]Jien-Chung Lo, Cecilia Metra, Fabrizio Lombardi:
Guest Editors' Introduction: Special Section on Design and Test of Systems-on-Chip (SoC). IEEE Trans. Computers 55(2): 97-98 (2006) - [j90]Luca Schiano, Mariam Momenzadeh, Fengming Zhang, Young-Jun Lee, Thomas Kane, Solomon Max, Philip Perkins, Yong-Bin Kim, Fabrizio Lombardi, Fred J. Meyer:
Measuring the timing jitter of ATE in the frequency domain. IEEE Trans. Instrum. Meas. 55(1): 280-289 (2006) - [j89]Francesco Amigoni, Arnaldo Brandolini, Vincenzo Caglioti, Vincenzo Di Lecce, Andrea Guerriero, Massimo Lazzaroni, Fabrizio Lombardi, Roberto Ottoboni, Eros Pasero, Vincenzo Piuri, Olga Scotti, Damiano Marino Somenzi:
Agencies for perception in environmental monitoring. IEEE Trans. Instrum. Meas. 55(4): 1038-1050 (2006) - [j88]Marco Ottavi, Luca Schiano, Xiaopeng Wang, Yong-Bin Kim, Fred J. Meyer, Fabrizio Lombardi:
Evaluating the Yield of Repairable SRAMs for ATE. IEEE Trans. Instrum. Meas. 55(5): 1704-1712 (2006) - [c152]Jing Huang, Mariam Momenzadeh, Fabrizio Lombardi:
Defect tolerance of QCA tiles. DATE 2006: 774-779 - [c151]Sanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli:
Novel designs for thermally robust coplanar crossing in QCA. DATE 2006: 786-791 - [c150]Xiaojun Ma, Jing Huang, Cecilia Metra, Fabrizio Lombardi:
Testing Reversible 1D Arrays for Molecular QCA. DFT 2006: 71-79 - [c149]Byunghyun Jang, Yong-Bin Kim, Fabrizio Lombardi:
Error Tolerance of DNA Self-Assembly by Monomer Concentration Control. DFT 2006: 89-97 - [c148]Hamidreza Hashempour, Fabrizio Lombardi:
A Novel Methodology for Functional Test Data Compression. DFT 2006: 128-135 - [c147]Salvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Adelio Salsano, Fabrizio Lombardi:
Reliability Evaluation of Repairable/Reconfigurable FPGAs. DFT 2006: 227-235 - [c146]Fengming Zhang, Warren Necoechea, Peter Reiter, Yong-Bin Kim, Fabrizio Lombardi:
Load Board Designs Using Compound Dot Technique and Phase Detector for Hierarchical ATE Calibrations. DFT 2006: 486-494 - [c145]Xiaojun Ma, Fabrizio Lombardi:
Multi-Site and Multi-Probe Substrate Testing on an ATE. DFT 2006: 495-506 - [c144]Luke Demoracski, Fabrizio Lombardi:
Connecting and Configuring Defective Nano-Scale Networks for DNA Self-Assembly. Nano-Net 2006: 1-5 - 2005
- [j87]R. Iris Bahar, Mehdi Baradaran Tahoori, Sandeep K. Shukla, Fabrizio Lombardi:
Guest Editors' Introduction: Challenges for Reliable Design at the Nanoscale. IEEE Des. Test Comput. 22(4): 295-297 (2005) - [j86]Gian Carlo Cardarilli, Fabrizio Lombardi, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano:
A Comparative Evaluation of Designs for Reliable Memory Systems. J. Electron. Test. 21(4): 429-444 (2005) - [j85]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
A probabilistic analysis of fault tolerance for switch block array in FPGAs. Int. J. Embed. Syst. 1(3/4): 250-262 (2005) - [j84]Jing Huang, Mariam Momenzadeh, Luca Schiano, Marco Ottavi, Fabrizio Lombardi:
Tile-based QCA design using majority-like logic primitives. ACM J. Emerg. Technol. Comput. Syst. 1(3): 163-185 (2005) - [j83]Viktor K. Prasanna, Fabrizio Lombardi:
Editor's Note. IEEE Trans. Computers 54(2): 97- (2005) - [j82]Hamidreza Hashempour, Fabrizio Lombardi:
Application of Arithmetic Coding to Compression of VLSI Test Data. IEEE Trans. Computers 54(9): 1166-1177 (2005) - [j81]Mariam Momenzadeh, Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Characterization, test, and logic synthesis of and-or-inverter (AOI) gate design for QCA implementation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(12): 1881-1893 (2005) - [j80]Noh-Jin Park, K. M. George, Nohpill Park, Minsu Choi, Yong-Bin Kim, Fabrizio Lombardi:
Environmental-based characterization of SoC-based instrumentation systems for stratified testing. IEEE Trans. Instrum. Meas. 54(3): 1241-1248 (2005) - [j79]Hamidreza Hashempour, Luca Schiano, Fabrizio Lombardi:
Evaluation, analysis, and enhancement of error resilience for reliable compression of VLSI test data. IEEE Trans. Instrum. Meas. 54(5): 1761-1769 (2005) - [j78]Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi:
Analysis and evaluation of multisite testing for VLSI. IEEE Trans. Instrum. Meas. 54(5): 1770-1778 (2005) - [j77]Minsu Choi, Nohpill Park, Vincenzo Piuri, Fabrizio Lombardi:
Reliability measurement of mass storage system for onboard instrumentation. IEEE Trans. Instrum. Meas. 54(6): 2297-2304 (2005) - [j76]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Fault Tolerance of Switch Blocks and Switch Block Arrays in FPGA. IEEE Trans. Very Large Scale Integr. Syst. 13(7): 794-807 (2005) - [c143]Luca Schiano, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano:
On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories. DATE 2005: 580-585 - [c142]Hamidreza Hashempour, Luca Schiano, Fabrizio Lombardi:
Evaluation of Error-Resilience for Reliable Compression of Test Data. DATE 2005: 1284-1289 - [c141]Mariam Momenzadeh, Jing Huang, Fabrizio Lombardi:
Defect Characterization and Tolerance of QCA Sequential Devices and Circuits. DFT 2005: 199-207 - [c140]Mariam Momenzadeh, Marco Ottavi, Fabrizio Lombardi:
Modeling QCA Defects at Molecular-level in Combinational Circuits. DFT 2005: 208-216 - [c139]Kyung Ki Kim, Jing Huang, Yong-Bin Kim, Fabrizio Lombardi:
On the Modeling and Analysis of Jitter in ATE Using Matlab. DFT 2005: 285-293 - [c138]Kyung Ki Kim, Yong-Bin Kim, Fabrizio Lombardi:
Data Dependent Jitter (DDJ) Characterization Methodology. DFT 2005: 294-304 - [c137]Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi:
Simulating Faults of Combinational IP Core-based SOCs in a PLI Environment. DFT 2005: 389-397 - [c136]Hamidreza Hashempour, Fabrizio Lombardi:
Two dimensional reordering of functional test data for compression by ATE. ACM Great Lakes Symposium on VLSI 2005: 188-192 - [c135]Vamsi Vankamamidi, Marco Ottavi, Fabrizio Lombardi:
Tile-based design of a serial memory in QCA. ACM Great Lakes Symposium on VLSI 2005: 201-206 - [c134]Hamidreza Hashempour, Luca Schiano, Fabrizio Lombardi:
Enhancing error resilience for reliable compression of VLSI test data. ACM Great Lakes Symposium on VLSI 2005: 371-376 - [c133]Marco Ottavi, Luca Schiano, Fabrizio Lombardi, Salvatore Pontarelli, Gian Carlo Cardarilli:
Evaluating the Data Integrity of Memory Systems by Configurable Markov Models. ISVLSI 2005: 257-259 - [c132]Marco Ottavi, Vamsi Vankamamidi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano:
Design of a QCA Memory with Parallel Read/Serial Write. ISVLSI 2005: 292-294 - [c131]Mohammad Hosseinabady, Pejman Lotfi-Kamran, Pedram A. Riahi, Fabrizio Lombardi, Zainalabedin Navabi:
A Flow Graph Technique for DFT Controller Modification. SoCC 2005: 55-60 - [c130]Hamidreza Hashempour, Fabrizio Lombardi:
Improving Error Resilience for Compressed Test Sets by Don't Care Assignment. SoCC 2005: 65-68 - 2004
- [j75]André Ivanov, Fabrizio Lombardi, Cecilia Metra:
Guest Editors' Introduction: Advances in VLSI Testing at MultiGbps Rates. IEEE Des. Test Comput. 21(4): 274-276 (2004) - [j74]Zainalabedin Navabi, Shahrzad Mirkhani, Meisam Lavasani, Fabrizio Lombardi:
Using RT Level Component Descriptions for Single Stuck-at Hierarchical Fault Simulation. J. Electron. Test. 20(6): 575-589 (2004) - [j73]Minsu Choi, Nohpill Park, Vincenzo Piuri, Yong-Bin Kim, Fabrizio Lombardi:
Balanced dual-stage repair for dependable embedded memory cores. J. Syst. Archit. 50(5): 281-285 (2004) - [j72]J. Doyle, Young Jun Lee, Yong-Bin Kim, H. Wilsch, Fabrizio Lombardi:
A CMOS subbandgap reference circuit with 1-v power supply voltage. IEEE J. Solid State Circuits 39(1): 252-255 (2004) - [j71]Bin Liu, Fabrizio Lombardi, Nohpill Park, Minsu Choi:
Testing Layered Interconnection Networks. IEEE Trans. Computers 53(6): 710-722 (2004) - [j70]Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi:
Analysis and measurement of fault coverage in a combined ATE and BIST environment. IEEE Trans. Instrum. Meas. 53(2): 300-307 (2004) - [j69]Farzin Karimi, Zainalabedin Navabi, Waleed Meleis, Fabrizio Lombardi:
Using data compression in automatic test equipment for system-on-chip testing. IEEE Trans. Instrum. Meas. 53(2): 308-317 (2004) - [j68]Minsu Choi, Nohpill Park, Vincenzo Piuri, Fabrizio Lombardi:
Evaluating the repair of system-on-chip (SoC) using connectivity. IEEE Trans. Instrum. Meas. 53(6): 1464-1472 (2004) - [j67]Jun Zhao, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi:
Sequential diagnosis of processor array systems. IEEE Trans. Reliab. 53(4): 487-498 (2004) - [c129]Tao Feng, Byoungjae Jin, J. Wang, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi:
Fault tolerant clockless wave pipeline design. Conf. Computing Frontiers 2004: 350-356 - [c128]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Fault Tolerance of Programmable Switch Blocks. DATE 2004: 1358-1359 - [c127]Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Testing of Quantum Dot Cellular Automata Based Designs. DATE 2004: 1408-1409 - [c126]Luca Schiano, Yong-Bin Kim, Fabrizio Lombardi:
Scan Test of IP Cores in an ATE Environment. DELTA 2004: 281-286 - [c125]Xiaopeng Wang, Marco Ottavi, Fred J. Meyer, Fabrizio Lombardi:
On The Yield of Compiler-Based eSRAMs. DFT 2004: 11-19 - [c124]Jing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Defect Characterization for Scaling of QCA Devices. DFT 2004: 30-38 - [c123]Shanrui Zhang, Minsu Choi, Nohpill Park, Fabrizio Lombardi:
Probabilistic Balancing of Fault Coverage and Test Cost in Combined Built-In Self-Test/Automated Test Equipment Testing Environment. DFT 2004: 48-56 - [c122]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. DFT 2004: 96-104 - [c121]Xiaopeng Wang, Marco Ottavi, Fabrizio Lombardi:
Testing of Inter-Word Coupling Faults in Word-Oriented SRAMs. DFT 2004: 111-119 - [c120]Hamidreza Hashempour, Fabrizio Lombardi:
Compression of VLSI Test Data by Arithmetic Coding. DFT 2004: 150-157 - [c119]Hamidreza Hashempour, Luca Schiano, Fabrizio Lombardi:
Error-Resilient Test Data Compression Using Tunstall Codes. DFT 2004: 316-323 - [c118]Tao Feng, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi, Fred J. Meyer:
Reliability Modeling and Assurance of Clockless Wave Pipeline. DFT 2004: 442-450 - [c117]Hamidreza Hashempour, Fabrizio Lombardi:
Evaluation of heuristic techniques for test vector ordering. ACM Great Lakes Symposium on VLSI 2004: 96-99 - [c116]Marco Ottavi, Xiaopeng Wang, Fred J. Meyer, Fabrizio Lombardi:
Simulation of reconfigurable memory core yield. ACM Great Lakes Symposium on VLSI 2004: 136-140 - [c115]Jing Huang, Mariam Momenzadeh, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Design and characterization of an and-or-inverter (AOI) gate for QCA implementation. ACM Great Lakes Symposium on VLSI 2004: 426-429 - [c114]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Probabilistic Analysis of Fault Tolerance of FPGA Switch Block Array. IPDPS 2004 - [c113]Mariam Momenzadeh, Mehdi Baradaran Tahoori, Jing Huang, Fabrizio Lombardi:
Quantum Cellular Automata: New Defects and Faults for New Devices. IPDPS 2004 - [c112]Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi:
Routability and Fault Tolerance of FPGA Interconnect Architectures. ITC 2004: 479-488 - [c111]Luca Schiano, Marco Ottavi, Fabrizio Lombardi:
Markov Models of Fault-Tolerant Memory Systems under SEU. MTDT 2004: 38-43 - [c110]Mehdi Baradaran Tahoori, Mariam Momenzadeh, Jing Huang, Fabrizio Lombardi:
Defects and Faults in Quantum Cellular Automata at Nano Scale. VTS 2004: 291-296 - 2003
- [j66]Soha Hassoun, Yong-Bin Kim, Fabrizio Lombardi:
Guest Editors' Introduction: Clockless VLSI Systems. IEEE Des. Test Comput. 20(6): 5-8 (2003) - [j65]Farzin Karimi, V. Swamy Irrinki, T. Crosby, Nohpill Park, Fabrizio Lombardi:
Parallel testing of multi-port static random access memories. Microelectron. J. 34(1): 3-21 (2003) - [j64]Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi:
Adaptive Algorithms for Maximal Diagnosis of Wiring Interconnects. IEEE Trans. Computers 52(10): 1259-1270 (2003) - [j63]Minsu Choi, Nohpill Park, Fabrizio Lombardi:
Modeling and analysis of fault tolerant multistage interconnection networks. IEEE Trans. Instrum. Meas. 52(5): 1509-1519 (2003) - [j62]Nohpill Park, Fabrizio Lombardi:
Guest Editorial. IEEE Trans. Instrum. Meas. 52(6): 1694-1695 (2003) - [j61]Young-Jun Lee, Thomas Kane, Jong-Jin Lim, Young Jun Schiano, Yong-Bin Kim, Fred J. Meyer, Fabrizio Lombardi, Solomon Max:
Analysis and measurement of timing jitter induced by radiated EMI noise in automatic test equipment. IEEE Trans. Instrum. Meas. 52(6): 1749-1755 (2003) - [j60]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi, Nohpill Park:
Maximal diagnosis of interconnects of random access memories. IEEE Trans. Reliab. 52(4): 423-434 (2003) - [c109]Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi:
The VPI-Based Combinational IP Core Module-Based Mixed Level Serial Fault Simulation and Test Generation Methodology. Asian Test Symposium 2003: 274-277 - [c108]Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi:
Using Verilog VPI for Mixed Level Serial Fault Simulation in a Test Generation Environment. Embedded Systems and Applications 2003: 139-143 - [c107]Xiaopeng Wang, Marco Ottavi, Fabrizio Lombardi:
Yield Analysis of Compiler-Based Arrays of Embedded SRAMs. DFT 2003: 3-10 - [c106]Luca Schiano, Fabrizio Lombardi:
On the Test and Diagnosis of the Perfect Shuffle. DFT 2003: 97-104 - [c105]Hamidreza Hashempour, Fabrizio Lombardi:
ATE-Amenable Test Data Compression with No Cyclic Scan. DFT 2003: 151-158 - [c104]Fengming Zhang, Young-Jun Lee, Thomas Kane, Luca Schiano, Mariam Momenzadeh, Yong-Bin Kim, Fred J. Meyer, Fabrizio Lombardi, Solomon Max, Phil Perkinson:
A Digital and Wide Power Bandwidth H-Field Generator for Automatic Test Equipment. DFT 2003: 159-166 - [c103]Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi, Farzin Karimi:
Hybrid Multisite Testing at Manufacturing. ITC 2003: 927-936 - [c102]Minsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri:
Optimal Spare Utilization in Repairable and Reliable Memory Cores. MTDT 2003: 64-71 - [c101]Minsu Choi, Noh-Jin Park, K. M. George, Byoungjae Jin, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi:
Fault Tolerant Memory Design for HW/SW Co-Reliability in Massively Parallel Computing Systems. NCA 2003: 341-350 - 2002
- [j59]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Analyzing and Diagnosing Interconnect Faults in Bus-Structured Systems. IEEE Des. Test Comput. 19(1): 54-64 (2002) - [j58]Nohpill Park, Fred J. Meyer, Fabrizio Lombardi:
Quality-effective repair of multichip module systems. J. Syst. Archit. 47(10): 883-900 (2002) - [j57]Dimiter R. Avresky, Barry W. Johnson, Fabrizio Lombardi:
Guest Editors' Introduction. IEEE Trans. Computers 51(2): 97-99 (2002) - [j56]Minsu Choi, Nohpill Park, Fabrizio Lombardi, Vincenzo Piuri:
Quality enhancement of reconfigurable multichip module systems by redundancy utilization. IEEE Trans. Instrum. Meas. 51(4): 740-749 (2002) - [j55]Nohpill Park, Fabrizio Lombardi:
Analysis of stratified testing for multichip module systems. IEEE Trans. Reliab. 51(1): 100-110 (2002) - [c100]Farzin Karimi, Waleed Meleis, Zainalabedin Navabi, Fabrizio Lombardi:
Data Compression for System-on-Chip Testing Using ATE. DFT 2002: 166-176 - [c99]Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi:
Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE. DFT 2002: 186-194 - [c98]Fabrizio Lombardi, Nohpill Park:
Testing Layered Interconnection Networks. DFT 2002: 293-304 - [c97]Minsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri:
Balanced Redundancy Utilization in Embedded Memory Cores for Dependable Systems. DFT 2002: 419-427 - [c96]Y. Chang, Minsu Choi, Nohpill Park, Fabrizio Lombardi:
Repairability Evaluation of Embedded Multiple Region DRAMs. DFT 2002: 428-436 - [c95]Farzin Karimi, Fabrizio Lombardi:
A Scan-Bist Environment for Testing Embedded Memories. IOLTW 2002: 211- - [c94]Minsu Choi, Nohpill Park, Fabrizio Lombardi:
Hardware-Software Co-Reliability in Field Reconfigurable Multi-Processor-Memory Systems. IPDPS 2002 - [c93]Farzin Karimi, Fabrizio Lombardi:
A Scan-Bist Environment for Testing Embedded Memories. MTDT 2002: 17- - [c92]Farzin Karimi, Fred J. Meyer, Fabrizio Lombardi:
Random Testing of Multi-Port Static Random Access Memories. MTDT 2002: 101-108 - [c91]Minsu Choi, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi:
Hardware/Software Co-Reliability of Configurable Digital Systems. PRDC 2002: 67-74 - 2001
- [j54]Fabrizio Lombardi, Cecilia Metra:
Guest Editors' Introduction: Defect-Oriented Diagnosis for Very Deep-Submicron Systems. IEEE Des. Test Comput. 18(1): 8-9 (2001) - [j53]Dimiter R. Avresky, Fabrizio Lombardi, Karl-Erwin Großpietsch, Barry W. Johnson:
Guest Editors' Introduction: Fault-Tolerant Embedded Systems. IEEE Micro 21(5): 12-15 (2001) - [j52]Wenyi Feng, Farzin Karimi, Fabrizio Lombardi:
Fault Detection in a Tristate System Environment. IEEE Micro 21(5): 77-85 (2001) - [j51]Haldun Hadimioglu, David R. Kaeli, Fabrizio Lombardi:
Introduction to the Special Section on High Performance Memory Systems. IEEE Trans. Computers 50(11): 1103-1104 (2001) - [j50]Nohpill Park, Fabrizio Lombardi, Vincenzo Piuri:
Testing and evaluating the quality-level of stratified multichip module instrumentation. IEEE Trans. Instrum. Meas. 50(6): 1615-1624 (2001) - [c90]Xiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi:
Novel Approaches for Fault Detection in Two-Dimensional Combinational Arrays. DFT 2001: 161-169 - [c89]Farzin Karimi, Fabrizio Lombardi:
Parallel Testing of Multi-port Static Random Access Memories for BIST. DFT 2001: 271-279 - [c88]Farzin Karimi, Fabrizio Lombardi, V. Swamy Irrinki, T. Crosby:
A Parallel Approach for Testing Multi-Port Static Random Access Memories. MTDT 2001: 73- - [c87]Mohammad A. Al-Hashimi, Huay-min H. Pu, Nohpill Park, Fabrizio Lombardi:
Dependability under Malicious Agreement in N-modular Redundancy-on-Demand Systems. NCA 2001: 80-93 - [c86]Minsu Choi, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi:
Connectivity-Based Multichip Module Repair. PRDC 2001: 19-26 - [c85]Fabrizio Lombardi, Nohpill Park, Mohammad A. Al-Hashimi, Huay-min H. Pu:
Modeling the Dependability of N-Modular Redundancy on Demand under Malicious Agreement. PRDC 2001: 68-75 - 2000
- [j49]Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi:
An Approach for Detecting Multiple Faulty FPGA Logic Blocks. IEEE Trans. Computers 49(1): 48-54 (2000) - [j48]Fabrizio Lombardi, Mariagiovanna Sami:
Guest Editors' Introduction. IEEE Trans. Computers 49(6): 529-531 (2000) - [j47]Jun Zhao, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi:
Testing SRAM-Based Content Addressable Memories. IEEE Trans. Computers 49(10): 1054-1063 (2000) - [j46]Tong Liu, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi:
Testing and testable designs for one-time programmable FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(11): 1370-1375 (2000) - [c84]Bin Liu, Fabrizio Lombardi, Wei-Kang Huang:
Testing programmable interconnect systems: an algorithmic approach. Asian Test Symposium 2000: 311-316 - [c83]Nohpill Park, Fred J. Meyer, Fabrizio Lombardi:
Quality-Effective Repair of Multichip Module Systems. DFT 2000: 47-55 - [c82]W. Shi, K. Kumar, Fabrizio Lombardi:
On the Complexity of Switch Programming in Fault-Tolerant-Configurable Chips. DFT 2000: 125-134 - [c81]Nohpill Park, S. J. Ruiwale, Fabrizio Lombardi:
Testing the Configurability of Dynamic FPGAs. DFT 2000: 311-319 - [c80]Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi:
Complexity Bounds for Lookup Table Implementation of Factored Forms in FPGA Technology Mapping. IPDPS Workshops 2000: 951-958 - [c79]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Diagnosing the Interconnect of Bus-Connected Multi-RAM Systems under Restricted and General Fault Models. MTDT 2000: 14-19 - [c78]Jun Zhao, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi:
Detection of Inter-Port Faults in Multi-Port Static RAMs. VTS 2000: 297-304
1990 – 1999
- 1999
- [j45]Bruce F. Cockburn, Fabrizio Lombardi, Fred J. Meyer:
Guest Editors' Introduction: DRAM Architecture and Testing. IEEE Des. Test Comput. 16(1): 19-21 (1999) - [j44]Xiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi:
Design Verification of FPGA Implementations. IEEE Des. Test Comput. 16(2): 66-73 (1999) - [j43]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Adaptive Fault Detection and Diagnosis of RAM Interconnects. J. Electron. Test. 15(1-2): 157-171 (1999) - [j42]Xiao-Tao Chen, Wenyi Feng, Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Reconfiguring one-time programmable FPGAs. IEEE Micro 19(6): 53-63 (1999) - [j41]Jai-Hoon Kim, Sungsoo Kim, Fabrizio Lombardi:
Fault-tolerant rank order filtering for image enhancement. IEEE Trans. Consumer Electron. 45(2): 436-442 (1999) - [j40]Tong Liu, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi:
Test generation and scheduling for layout-based detection of bridge faults in interconnects. IEEE Trans. Very Large Scale Integr. Syst. 7(1): 48-55 (1999) - [c77]Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi:
Diagnosing Single Faults for Interconnects in SRAM Based FPGAs. ASP-DAC 1999: 283-286 - [c76]Wenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi:
A BIST TPG Approach for Interconnect Testing With the IEEE 1149.1 STD. Asian Test Symposium 1999: 95-100 - [c75]Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi:
Minimizing the Number of Programming Steps for Diagnosis of Interconnect Faults in FPGAs. Asian Test Symposium 1999: 357-362 - [c74]Lan Zhao, D. M. H. Walker, Fabrizio Lombardi:
IDDQ Testing of Input/Output Resources of SRAM-Based FPGAs. Asian Test Symposium 1999: 375- - [c73]Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi:
Novel Control Pattern Generators for Interconnect Testing with Boundary Scan. DFT 1999: 112-120 - [c72]Nohpill Park, Fabrizio Lombardi:
Stratified Testing of Multichip Module Systems under Uneven Known-Good-Yield. DFT 1999: 192-200 - [c71]Fred J. Meyer, Fabrizio Lombardi, Jun Zhao:
Good Processor Identification in Two-Dimensional Grids. DFT 1999: 348-356 - [c70]Wenyi Feng, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi:
Reconfiguration of One-Time Programmable FPGAs with Faulty Logic Resources. DFT 1999: 368-376 - [c69]Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi:
Two-Step Algorithms for Maximal Diagnosis of Wiring Interconnects. FTCS 1999: 130-137 - [c68]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Interconnect Diagnosis of Bus-Connected Multi-RAM Systems. MTDT 1999: 40-47 - [c67]Jian Xu, Paifa Si, Wei-Kang Huang, Fabrizio Lombardi:
A Novel Fault Tolerant Approach for SRAM-Based FPGAs. PRDC 1999: 40-44 - [c66]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Maximal Diagnosis of Interconnects of Random Access Memories. VTS 1999: 378-383 - 1998
- [j39]Fabrizio Lombardi:
Field-Programmable Gate Arrays. IEEE Des. Test Comput. 15(1): 8-9 (1998) - [j38]Dimiter R. Avresky, Karl-Erwin Grosspietsch, Barry W. Johnson, Fabrizio Lombardi:
Embedded Fault-Tolerant Systems. IEEE Micro 18(5): 8-11 (1998) - [j37]Lan Zhao, D. M. H. Walker, Fabrizio Lombardi:
IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays. IEEE Trans. Computers 47(10): 1136-1152 (1998) - [j36]Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi:
Structural diagnosis of interconnects by coloring. ACM Trans. Design Autom. Electr. Syst. 3(2): 249-271 (1998) - [j35]Wei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi:
Testing configurable LUT-based FPGA's. IEEE Trans. Very Large Scale Integr. Syst. 6(2): 276-283 (1998) - [c65]Wenyi Feng, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi:
Fault Detection in a Tristate System Environment. Asian Test Symposium 1998: 253-258 - [c64]Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi:
A Diagnosis Method for Interconnects in SRAM Based FPGAs. Asian Test Symposium 1998: 278-282 - [c63]Y. Bellan, Mario Costa, Giancarlo Ferrigno, Fabrizio Lombardi, Luca Macchiarulo, Alfonso Montuori, Eros Pasero, Camilla Rigotti:
Artificial Neural Networks for Motion Emulation in Virtual Environments. CAPTECH 1998: 83-99 - [c62]Avinash Munshi, Fred J. Meyer, Fabrizio Lombardi:
A New Method for Testing EEPLA's. DFT 1998: 146-154 - [c61]Wenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi:
On the Complexity of Sequential Testing in Configurable FPGAs. DFT 1998: 164- - [c60]Lan Zhao, D. M. H. Walker, Fabrizio Lombardi:
Bridging Fault Detection in FPGA Interconnects Using IDDQ. FPGA 1998: 95-104 - [c59]Lan Zhao, D. M. H. Walker, Fabrizio Lombardi:
Detection of bridging faults in logic resources of configurable FPGAs using I_DDQ. ITC 1998: 1037-1046 - [c58]Jun Zhao, Fred J. Meyer, Fabrizio Lombardi:
Fault Detection and Diagnosis of Interconnects of Random Access Memories. VTS 1998: 42-47 - 1997
- [c57]Wei-Kang Huang, M. Y. Zhang, Fred J. Meyer, Fabrizio Lombardi:
A XOR-Tree Based Technique for Constant Testability of Configurable FPGAs. Asian Test Symposium 1997: 248-253 - [c56]David Ashen, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi:
Testing of programmable logic devices (PLD) with faulty resources. DFT 1997: 76-84 - [c55]Wei Liang Huang, Fred J. Meyer, Fabrizio Lombardi:
Multiple fault detection in logic resources of FPGAs. DFT 1997: 186-194 - [c54]Fred J. Meyer, Xiao-Tao Chen, Wei-Kang Huang, Fabrizio Lombardi:
Using Virtual Links for Reliable Information Retrieval Across Point-to-Point Networks. FTCS 1997: 216-225 - [c53]X. Tan, J. Tong, P. Tan, Nohpill Park, Fabrizio Lombardi:
An Efficient Multi-Way Algorithm for Balanced Partitioning of VLSI Circuits. ICCD 1997: 608-613 - [c52]Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi:
On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. ICPP 1997: 350-359 - [c51]Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi:
On the Fault Coverage of Interconnect Diagnosis. VTS 1997: 101-109 - 1996
- [j34]G. Buonannoa, Franco Fummi, Donatella Sciuto, Fabrizio Lombardi:
FsmTest: Functional test generation for sequential circuits. Integr. 20(3): 303-325 (1996) - [j33]Yinan N. Shen, Fabrizio Lombardi:
Graph Algorithms for Conformance Testing Using the Rural Chinese Postman Tour. SIAM J. Discret. Math. 9(4): 511-528 (1996) - [j32]José Salinas, Yinan N. Shen, Fabrizio Lombardi:
A Sweeping Line Approach to Interconnect Testing. IEEE Trans. Computers 45(8): 917-929 (1996) - [j31]Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi:
Adaptive System-Level Diagnosis for Hypercube Multiprocessors. IEEE Trans. Computers 45(10): 1157-1170 (1996) - [c50]Tong Liu, Xiao-Tao Chen, Fabrizio Lombardi, José Salinas:
Layout-driven detection of bridge faults in interconnects. DFT 1996: 105-113 - [c49]Nohpill Park, Fabrizio Lombardi, Sungsoo Kim:
Modeling Quality Reduction of Multichip Module Systems due to Uneven Fault-Coverage and Imperfect Diagnosis. DFT 1996: 168-176 - [c48]Fabrizio Lombardi, David Ashen, Xiao-Tao Chen, Wei-Kang Huang:
Diagnosing Programmable Interconnect Systems for FPGAs. FPGA 1996: 100-106 - [c47]Xiao-Tao Chen, Fabrizio Lombardi:
A coloring approach to the structural diagnosis of interconnects. ICCAD 1996: 676-680 - [c46]Yinan N. Shen, Nohpill Park, Fabrizio Lombardi:
Space Cutting Approaches for Repairing Memories. ICCD 1996: 106-111 - [c45]José Salinas, Nohpill Park, U. Arunkumar, Fabrizio Lombardi:
Conformance Testing of Time-Dependent Protocols. ICECCS 1996: 257-264 - [c44]Wei-Kang Huang, Xiao-Tao Chen, Fabrizio Lombardi:
On the diagnosis of programmable interconnect systems: Theory and application. VTS 1996: 204-211 - [c43]Wei-Kang Huang, Fabrizio Lombardi:
An approach for testing programmable/configurable field programmable gate arrays. VTS 1996: 450-455 - 1995
- [j30]Tong Liu, Fabrizio Lombardi:
Diagnosis of interconnects using a structured walking-1 approach. Integr. 19(3): 181-198 (1995) - [c42]Jai-Hoon Kim, Fabrizio Lombardi, Nitin H. Vaidya:
An improved approach to fault tolerant rank order filtering on a SIMD mesh processor. DFT 1995: 137-145 - [c41]Hannu H. Kari, Heikki Saikkonen, Sungsoo Kim, Fabrizio Lombardi:
Repair algorithms for mirrored disk systems. DFT 1995: 216-224 - [c40]Xiao-Tao Chen, Wei-Kang Huang, Fabrizio Lombardi, Xiao Sun:
A row-based FPGA for single and multiple stuck-at fault detection. DFT 1995: 225-233 - [c39]Tong Liu, Wei-Kang Huang, Fabrizio Lombardi:
Testing of Uncustomized Segmented Channel Field Programmable Gate Arrays. FPGA 1995: 125-131 - [c38]Chao Feng, Wei-Kang Huang, Fabrizio Lombardi:
A New Diagnosis Approach for Short Faults in Interconnects. FTCS 1995: 331-339 - [c37]V. Purohit, Fabrizio Lombardi, Susumu Horiguchi, J. H. Kim:
Diagnosing Multiple Bridge Faults in Baseline Multistage Interconnection Networks. ICPP (1) 1995: 131-135 - [c36]Tong Liu, Wei-Kang Huang, Fabrizio Lombardi, Laxmi N. Bhuyan:
A Submesh Allocation Scheme for Mesh-Connected Multiprocessor Systems. ICPP (2) 1995: 159-163 - [c35]Amitabh Mishra, Yeimkuan Chang, Laxmi N. Bhuyan, Fabrizio Lombardi:
Fault-tolerant sorting in SIMD hypercubes. IPPS 1995: 312-318 - [c34]Wei-Kang Huang, Xiao-Tao Chen, Laxmi N. Bhuyan, Fabrizio Lombardi:
Accurate communication models for task scheduling in multicomputers. SPDP 1995: 524-529 - [c33]Tong Liu, Fabrizio Lombardi, José Salinas:
Diagnosis of interconnects and FPICs using a structured walking-1 approach. VTS 1995: 256-261 - 1994
- [j29]Hannu H. Kari, José Salinas, Fabrizio Lombardi:
Generating non-standard random distributions for discrete event simulation systems. Simul. Pract. Theory 1(4): 173-193 (1994) - [c32]Yinan N. Shen, Hannu H. Kari, Sungsoo Kim, Fabrizio Lombardi:
Scheduling Policies for Fault Tolerance in a VLSI Processor. DFT 1994: 1-9 - [c31]Tong Liu, Fabrizio Lombardi:
On Soft Switch Programming for Reconfigurable Array Systems. DFT 1994: 203-211 - [c30]José Salinas, Fabrizio Lombardi:
Rank Order Filtering on an Array With Faulty Processors. ICPP (1) 1994: 236-240 - [c29]D. Schin, Yinan N. Shen, Fabrizio Lombardi:
An Approach for UIO Generation for FSM Verification and Validation. ISCAS 1994: 303-306 - [c28]Xiao Sun, Fabrizio Lombardi:
Matrix multiplication on the MasPar using distance insensitive communication schemes. ISPAN 1994: 358-365 - [c27]Hannu H. Kari, Heikki Saikkonen, Fabrizio Lombardi:
Detecting Latent Sector Faults in Modern SCSI Disks. MASCOTS 1994: 403-404 - [c26]Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi:
A divide-and-conquer methodology for system-level diagnosis of processor arrays. SPDP 1994: 352-359 - 1993
- [j28]Chao Feng, Jon C. Muzio, Fabrizio Lombardi:
On the testability of array structures for FFT computation. J. Electron. Test. 4(3): 215-224 (1993) - [j27]Giacomo Buonanno, Fabrizio Lombardi, Donatella Sciuto, Yinan N. Shen:
Fault detection in TFCMOS/DFCMOS combinational gates. Integr. 15(2): 201-227 (1993) - [j26]H. Lin, Fabrizio Lombardi, Mi Lu:
On the optimal reconfiguration of multipipeline arrays in the presence of faulty processing and switching elements. IEEE Trans. Very Large Scale Integr. Syst. 1(1): 76-79 (1993) - [c25]Hannu H. Kari, Heikki Saikkonen, Fabrizio Lombardi:
Detection of Defective Media in Disks. DFT 1993: 49-55 - [c24]José Salinas, Fabrizio Lombardi:
On the Reconfigurable Operation of Arrays with Defects for Image Processing. DFT 1993: 88-95 - [c23]Xiao Sun, Fabrizio Lombardi, Donatella Sciuto:
On the minimal test set for single fault location. EURO-DAC 1993: 265-270 - [c22]José Salinas, Fabrizio Lombardi:
Emulating Reconfigurable Arrays for Image Processing Using the MasPar Architecture. ICPP (3) 1993: 141-148 - [c21]Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi:
An Adaptive System-Level Diagnosis Approach for Mesh Connected Multiprocessors. ICPP (3) 1993: 153-157 - [c20]Hannu H. Kari, Heikki Saikkonen, Fabrizio Lombardi:
On the Methods to Detect Sector Faults of a Disk Subsystem. MASCOTS 1993: 317-322 - [c19]Chao Feng, Laxmi N. Bhuyan, Fabrizio Lombardi:
An Adaptive System-Level Diagnosis Approach for Hypercube Multiprocessors. SPDP 1993: 460-469 - [c18]Xiao Sun, Fabrizio Lombardi:
On the design for testability of sequential circuits. VTS 1993: 147-150 - [e1]Fabrizio Lombardi, Mariagiovanna Sami, Yvon Savaria, Renato Stefanelli:
The IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, October 27-29, 1993, Venice, Italy, Proceedings. IEEE Computer Society 1993, ISBN 0-8186-3502-9 [contents] - 1992
- [j25]Fabrizio Lombardi, Donatella Sciuto:
Constant testability of combinational cellular tree structures. J. Electron. Test. 3(2): 139-148 (1992) - [j24]José Salinas, Fabrizio Lombardi:
A data path approach for testing microprocessors with a fault bound: the MC68000 case. Microprocess. Microsystems 16(10): 529-539 (1992) - [j23]Fabrizio Lombardi, Chao Feng, Wei-Kang Huang:
Detection and Location of Multiple Faults in Baseline Interconnection Networks. IEEE Trans. Computers 41(10): 1340-1344 (1992) - [j22]Yinan N. Shen, Fabrizio Lombardi, Anton T. Dahbura:
Protocol conformance testing using multiple UIO sequences. IEEE Trans. Commun. 40(8): 1282-1287 (1992) - [j21]Fabrizio Lombardi, Yinan N. Shen:
Evaluation and improvement of fault coverage of conformance testing by UIO sequences. IEEE Trans. Commun. 40(8): 1288-1293 (1992) - [c17]Xiao Sun, Yinan N. Shen, Fabrizio Lombardi:
On the Verification and Validation of Protocols with High Fault Coverage Using UIO Sequences. SRDS 1992: 196-203 - [c16]Yi-Nan Shen, Fabrizio Lombardi:
Detection of multiple faults in CMOS circuits using a behavioral approach. VTS 1992: 188-193 - 1991
- [j20]Wei-Kang Huang, Fabrizio Lombardi:
Minimizing the cost of repairing WSI memories. Integr. 11(3): 279-293 (1991) - [j19]Giacomo Buonanno, Fabrizio Lombardi, Donatella Sciuto, Y.-N. Sken:
Multiple stuck-at faults detection in CMOS combinational gates. Microprocessing and Microprogramming 32(1-5): 775-782 (1991) - [c15]Xiao Sun, Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto:
Protocol Conformance Testing by Discriminating UIO Sequences. PSTV 1991: 349-364 - [c14]Fabrizio Lombardi, Yinan N. Shen, Hannu H. Kari:
On a new approach for enhancing the fault coverage of conformance testing of protocols. SPDP 1991: 428-435 - 1990
- [j18]Yinan N. Shen, Fabrizio Lombardi:
Yield enhancement and manufacturing throughput of redundant memories by repairability/unrepairability detection. J. Electron. Test. 1(1): 43-57 (1990) - [j17]Fabrizio Lombardi, Wei-Kang Huang:
Fault Detection and Design Complextity in C-Testable VLSI Arrays. IEEE Trans. Computers 39(12): 1477-1481 (1990) - [j16]Wei-Kang Huang, Fabrizio Lombardi:
On the Constant Diagnosability of Baseline Interconnection Networks. IEEE Trans. Computers 39(12): 1485-1488 (1990) - [j15]Wei-Kang Huang, Yinan N. Shen, Fabrizio Lombardi:
New approaches for the repairs of memories with redundancy by row/column deletion for yield enhancement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(3): 323-328 (1990) - [c13]Peter Koo, Fabrizio Lombardi, Donatella Sciuto:
A Routing Algorithm for Harvesting Multipipeline Arrays with Small Intercell and Pipeline Delays. ICCAD 1990: 2-5 - [c12]Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto:
Evaluation and improvement of fault coverage for verification and validation of protocols. SPDP 1990: 200-207 - [c11]Fabrizio Lombardi, Yinan N. Shen, Jon C. Muzio:
On the testability of array structures for FFT computation. SPDP 1990: 519-522
1980 – 1989
- 1989
- [j14]Fabrizio Lombardi:
On a new class of C-testable systolic arrays. Integr. 8(3): 269-283 (1989) - [j13]Fabrizio Lombardi, Donatella Sciuto:
Linear testability conditions for two-dimensional arrays. Microprocess. Microprogramming 25(1-5): 85-90 (1989) - [j12]Donatella Sciuto, Fabrizio Lombardi:
Functional testing and verification of array systems. Microprocess. Microsystems 13(6): 403-412 (1989) - [j11]Fabrizio Lombardi, Mariagiovanna Sami, Renato Stefanelli:
Reconfiguration of VLSI arrays by covering. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(9): 952-965 (1989) - [c10]Aqil M. Azmi, Fabrizio Lombardi:
On a tapered floating point system. IEEE Symposium on Computer Arithmetic 1989: 2-9 - [c9]Yi-Nan Shen, Fabrizio Lombardi:
Fault detection in a testable PLA with low overhead for production testing. ICCAD 1989: 566-569 - [c8]Yinan N. Shen, Fabrizio Lombardi:
Location and Identification for Single and Multiple Faults in Testable Redundant PLAs for Yield Enhancement. ITC 1989: 670-678 - [c7]Yinan N. Shen, Fabrizio Lombardi, Anton T. Dahbura:
Protocol Conformance Testing Using Multiple UIO Sequences. PSTV 1989: 131-143 - 1988
- [j10]Fabrizio Lombardi:
Analysis of Comparison-Based Diagnosable Systems Using Temporal Criteria. Comput. J. 31(3): 201-208 (1988) - [j9]Fabrizio Lombardi:
Reconfiguration of hexagonal arrays by diagonal deletion. Integr. 6(3): 263-290 (1988) - [j8]W.-K. Huang, Fabrizio Lombardi:
A low complexity approach for fault detection in C-testable orthogonal VLSI arrays. Microprocess. Microprogramming 22(4): 277-299 (1988) - [j7]Donatella Sciuto, Fabrizio Lombardi:
On Functional Testing of Array Processors. IEEE Trans. Computers 37(11): 1480-1484 (1988) - [j6]Wei-Kang Huang, Fabrizio Lombardi:
On an improved design approach for C-testable orthogonal iterative arrays. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(5): 609-615 (1988) - [j5]Fabrizio Lombardi, Donatella Sciuto, Renato Stefanelli:
An algorithm for functional reconfiguration of fixed-size arrays. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(10): 1114-1118 (1988) - [c6]Fabrizio Lombardi, Wei-Kang Huang:
Approaches for the repair of VLSI/WSI RRAMs by row/column deletion. FTCS 1988: 342-347 - [c5]Fausto Distante, Fabrizio Lombardi, Donatella Sciuto:
Array partitioning: a methodology for reconfigurability and reconfiguration problems. ICCD 1988: 564-567 - [c4]Salih Yurttas, Fabrizio Lombardi:
New Approaches for the Reconfiguration of Two-Dimensional VLSI Arrays Using Time-Redundancy. RTSS 1988: 212-221 - 1987
- [j4]Chin-Long Wey, Fabrizio Lombardi:
On a Novel Self-Test Approach to Digital Testing. Comput. J. 30(3): 258-267 (1987) - [j3]A. Kovaleski, S. Ratheal, Fabrizio Lombardi:
An Architecture and an Interconnection Scheme for Time-Sliced Buses. J. Parallel Distributed Comput. 4(2): 209-229 (1987) - [j2]Chin-Long Wey, Fabrizio Lombardi:
On the Repair of Redundant RAM's. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 6(2): 222-231 (1987) - [c3]Fabrizio Lombardi, Donatella Sciuto, Renato Stefanelli:
A Technique for Reconfiguring Two Dimensional VLSI Arrays. RTSS 1987: 44-53 - 1986
- [c2]A. Kovaleski, S. Ratheal, Fabrizio Lombardi:
An Architecture and an Interconnection Scheme for Time-Sliced Buses in Real-Time Processing. RTSS 1986: 20-27 - 1985
- [c1]Fabrizio Lombardi, Chin-Long Wey:
On a Multiprocessor System with Dynamic Redundancy. RTSS 1985: 3-12 - 1984
- [j1]Fabrizio Lombardi:
Investigation and design of a controller of an asynchronous system for fault-tolerant aircraft control using hybrid voting techniques. Softw. Microsystems 3(1): 11-18 (1984)
Coauthor Index
aka: Haider Abbas F. Almurib
aka: Thulasiraman Nandha Kumar
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-01-21 00:15 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint