default search action
Donatella Sciuto
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [c308]Francesco Bruschi, Marco Zanghieri, Michele Terziani, Donatella Sciuto:
Decentralized Updates of IoT and Edge Devices. AINA (5) 2024: 161-170 - [c307]Nacereddine Sitouah, Francesco Bruschi, Francesco Lorenzo Pallotta, Riccardo Mencucci, Donatella Sciuto:
An Untraceable Credential Revocation Approach Based on a Novel Merkle Tree Accumulator. ICBC 2024: 210-214 - [c306]Federico Valentino, Beatrice Branchini, Davide Conficconi, Donatella Sciuto, Marco D. Santambrogio:
An Accurate Union Find Decoder for Quantum Error Correction on the Toric Code. IPDPS (Workshops) 2024: 99-105 - [c305]Marco Venere, Valentino Guerrini, Beatrice Branchini, Davide Conficconi, Donatella Sciuto, Marco D. Santambrogio:
Towards the Acceleration of the Sparse Blossom Algorithm for Quantum Error Correction. IPDPS (Workshops) 2024: 106-110 - [c304]Marco Esposito, Francesco Bruschi, Manuel Montecalvo, Donatella Sciuto:
Cognitive Oracles: Provable on-chain assessment through Validity Machine Learning. PerCom Workshops 2024: 537-542 - 2023
- [j104]Emanuele Del Sozzo, Davide Conficconi, Alberto Zeni, Mirko Salaris, Donatella Sciuto, Marco D. Santambrogio:
Pushing the Level of Abstraction of Digital System Design: A Survey on How to Program FPGAs. ACM Comput. Surv. 55(5): 106:1-106:48 (2023) - [j103]Christian Pilato, Luca Collini, Luca Cassano, Donatella Sciuto, Siddharth Garg, Ramesh Karri:
Optimizing the Use of Behavioral Locking for High-Level Synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(2): 462-472 (2023) - [j102]Eleonora D'Arnese, Davide Conficconi, Emanuele Del Sozzo, Luigi Fusco, Donatella Sciuto, Marco Domenico Santambrogio:
Faber: A Hardware/SoftWare Toolchain for Image Registration. IEEE Trans. Parallel Distributed Syst. 34(1): 291-303 (2023) - [c303]Stephanie Soldavini, Donatella Sciuto, Christian Pilato:
Iris: Automatic Generation of Efficient Data Layouts for High Bandwidth Utilization. ASP-DAC 2023: 172-177 - [c302]Beatrice Branchini, Davide Conficconi, Francesco Peverelli, Donatella Sciuto, Marco D. Santambrogio:
A Bird's Eye View on Quantum Computing: Current and Future Trends. EUROCON 2023: 689-694 - [c301]Marco Venere, Giuseppe Sorrentino, Beatrice Branchini, Davide Conficconi, Elisabetta Di Nitto, Donatella Sciuto, Marco D. Santambrogio:
On the Design and Characterization of Set Packing Problem on Quantum Annealers. EUROCON 2023: 695-700 - [c300]Francesco Bruschi, Donatella Sciuto, Tommaso Paulon, Andrea Marchesi:
A Decentralized Approach to Award Game Achievements. PerCom Workshops 2023: 237-242 - [c299]Beatrice Branchini, Davide Conficconi, Donatella Sciuto, Marco D. Santambrogio:
The Hitchhiker's Guide to FPGA-Accelerated Quantum Error Correction. QCE 2023: 338-339 - 2022
- [j101]Marco Siracusa, Emanuele Del Sozzo, Marco Rabozzi, Lorenzo Di Tucci, Samuel Williams, Donatella Sciuto, Marco Domenico Santambrogio:
A Comprehensive Methodology to Optimize FPGA Designs via the Roofline Model. IEEE Trans. Computers 71(8): 1903-1915 (2022) - [j100]Eleonora D'Arnese, Guido Walter Di Donato, Emanuele Del Sozzo, Martina Sollini, Donatella Sciuto, Marco Domenico Santambrogio:
On the Automation of Radiomics-Based Identification and Characterization of NSCLC. IEEE J. Biomed. Health Informatics 26(6): 2670-2679 (2022) - [c298]Christian Pilato, Donatella Sciuto, Benjamin Tan, Siddharth Garg, Ramesh Karri:
High-level design methods for hardware security: is it the right choice? invited. DAC 2022: 1375-1378 - [c297]Francesco Bruschi, Tommaso Paulon, Vincenzo Rana, Donatella Sciuto:
A Protocol for On-Chain Tenders. PerCom Workshops 2022: 273-278 - [p2]Christian Pilato, Donatella Sciuto, Francesco Regazzoni, Siddharth Garg, Ramesh Karri:
Protecting Hardware IP Cores During High-Level Synthesis. Behavioral Synthesis for Hardware Security 2022: 95-115 - [i4]Stephanie Soldavini, Donatella Sciuto, Christian Pilato:
Iris: Automatic Generation of Efficient Data Layouts for High Bandwidth Utilization. CoRR abs/2211.04361 (2022) - 2021
- [j99]Francesco Bruschi, Vincenzo Rana, Alessio Pagani, Donatella Sciuto:
Tunneling Trust Into the Blockchain: A Merkle Based Proof System for Structured Documents. IEEE Access 9: 103758-103771 (2021) - [j98]Christian Pilato, Animesh Basak Chowdhury, Donatella Sciuto, Siddharth Garg, Ramesh Karri:
ASSURE: RTL Locking Against an Untrusted Foundry. IEEE Trans. Very Large Scale Integr. Syst. 29(7): 1306-1318 (2021) - [c296]Davide Conficconi, Eleonora D'Arnese, Emanuele Del Sozzo, Donatella Sciuto, Marco D. Santambrogio:
A Framework for Customizable FPGA-based Image Registration Accelerators. FPGA 2021: 251-261 - [c295]Lorenzo Farinelli, Daniele Valentino De Vincenti, Andrea Damiani, Luca Stornaiuolo, Rolando Brondolin, Marco D. Santambrogio, Donatella Sciuto:
Plaster: an Embedded FPGA-based Cluster Orchestrator for Accelerated Distributed Algorithms. IPDPS Workshops 2021: 104-107 - [c294]Francesco Bruschi, Tommaso Paulon, Vincenzo Rana, Donatella Sciuto:
A privacy preserving identification protocol for smart contracts. ISCC 2021: 1-6 - [d1]Davide Conficconi, Eleonora D'Arnese, Emanuele Del Sozzo, Donatella Sciuto, Marco Domenico Santambrogio:
FPGA '21 source code release. Zenodo, 2021 - [i3]Christian Pilato, Luca Collini, Luca Cassano, Donatella Sciuto, Siddharth Garg, Ramesh Karri:
On the Optimization of Behavioral Logic Locking for High-Level Synthesis. CoRR abs/2105.09666 (2021) - 2020
- [j97]Luca Stornaiuolo, Filippo Carloni, Riccardo Pressiani, Giuseppe Natale, Marco D. Santambrogio, Donatella Sciuto:
Enabling transparent hardware acceleration on Zynq SoC for scientific computing. SIGBED Rev. 17(1): 30-35 (2020) - [c293]Giorgia Fiscaletti, Marco Speziali, Luca Stornaiuolo, Marco D. Santambrogio, Donatella Sciuto:
BNNsplit: Binarized Neural Networks for embedded distributed FPGA-based computing systems. DATE 2020: 975-978 - [c292]Jessica Leoni, Asia Ciallella, Luca Stornaiuolo, Marco D. Santambrogio, Donatella Sciuto:
EMPhASIS: An EMbedded Public Attention Stress Identification System. IPDPS Workshops 2020: 131-134 - [c291]Giorgia Fiscaletti, Marco Speziali, Luca Stornaiuolo, Marco D. Santambrogio, Donatella Sciuto:
Hardware resources analysis of BNNs splitting for FARD-based multi-FPGAs Distributed Systems. IPDPS Workshops 2020: 135-138 - [c290]Francesco Bruschi, Manuel Tumiati, Vincenzo Rana, Mattia Bianchi, Donatella Sciuto:
A Decentralized System for Fair Token Distribution and Seamless Users Onboarding. ISCC 2020: 1-6 - [c289]Francesco Bruschi, Vincenzo Rana, Alessio Pagani, Donatella Sciuto:
Acknowledging Value of Personal Information: a Privacy Aware Data Market for Health and Social Research. DLT@ITASEC 2020 - [i2]Christian Pilato, Animesh Basak Chowdhury, Donatella Sciuto, Siddharth Garg, Ramesh Karri:
ASSURE: RTL Locking Against an Untrusted Foundry. CoRR abs/2010.05344 (2020)
2010 – 2019
- 2019
- [c288]Luca Stornaiuolo, Massimo Perini, Marco D. Santambrogio, Donatella Sciuto:
FPGA-Based Embedded System Implementation of Audio Signal Alignment. IPDPS Workshops 2019: 132-139 - 2018
- [j96]Catalin Bogdan Ciobanu, Georgi Gaydadjiev, Christian Pilato, Donatella Sciuto:
The Case for Polymorphic Registers in Dataflow Computing. Int. J. Parallel Program. 46(6): 1185-1219 (2018) - [j95]Francesco Bruschi, Vincenzo Rana, Lorenzo Gentile, Donatella Sciuto:
Mine with it or sell it: the superhashing power dilemma. SIGMETRICS Perform. Evaluation Rev. 46(3): 127-130 (2018) - [j94]Matteo Ferroni, Andrea Corna, Andrea Damiani, Rolando Brondolin, John Kubiatowicz, Donatella Sciuto, Marco D. Santambrogio:
MARC: A Resource Consumption Modeling Service for Self-Aware Autonomous Agents. ACM Trans. Auton. Adapt. Syst. 12(4): 21:1-21:29 (2018) - [j93]Alessandro Antonio Nacci, Vincenzo Rana, Bharathan Balaji, Paola Spoletini, Rajesh K. Gupta, Donatella Sciuto, Yuvraj Agarwal:
BuildingRules: A Trigger-Action-Based System to Manage Complex Commercial Buildings. ACM Trans. Cyber Phys. Syst. 2(2): 13:1-13:22 (2018) - [c287]Emanuele Del Sozzo, Marco Rabozzi, Lorenzo Di Tucci, Donatella Sciuto, Marco D. Santambrogio:
A Scalable FPGA Design for Cloud N-Body Simulation. ASAP 2018: 1-8 - [c286]Luca Stornaiuolo, Alberto Parravicini, Donatella Sciuto, Marco D. Santambrogio:
FIDA: A Framework to Automatically Integrate FPGA Kernels Within Data-Science Applications. IPDPS Workshops 2018: 198-201 - [c285]Luca Stornaiuolo, Marco D. Santambrogio, Donatella Sciuto:
On How to Efficiently Implement Deep Learning Algorithms on PYNQ Platform. ISVLSI 2018: 587-590 - [c284]Luca Stornaiuolo, Marco Rabozzi, Marco D. Santambrogio, Donatella Sciuto, Catalin Bogdan Ciobanu, Giulio Stramondo, Ana Lucia Varbanescu:
Building High-Performance, Easy-to-Use Polymorphic Parallel Memories with HLS. VLSI-SoC (Selected Papers) 2018: 53-78 - [c283]Luca Stornaiuolo, Marco Rabozzi, Donatella Sciuto, Marco D. Santambrogio, Giulio Stramondo, Catalin Bogdan Ciobanu, Ana Lucia Varbanescu:
HLS Support for Polymorphic Parallel Memories. VLSI-SoC 2018: 143-148 - 2017
- [p1]Jacopo Panerati, Donatella Sciuto, Giovanni Beltrame:
Optimization Strategies in Design Space Exploration. Handbook of Hardware/Software Codesign 2017: 189-216 - 2016
- [j92]Riccardo Cattaneo, Giuseppe Natale, Carlo Sicignano, Donatella Sciuto, Marco Domenico Santambrogio:
On How to Accelerate Iterative Stencil Loops: A Scalable Streaming-Based Approach. ACM Trans. Archit. Code Optim. 12(4): 53:1-53:26 (2016) - [j91]Paolo Montuschi, Edward J. McCluskey, Samarjit Chakraborty, Jason Cong, Ramón M. Rodríguez-Dagnino, Fred Douglis, Lieven Eeckhout, Gernot Heiser, Sushil Jajodia, Ruby B. Lee, Dinesh Manocha, Tomás F. Pena, Isabelle Puaut, Hanan Samet, Donatella Sciuto:
State of the Journal. IEEE Trans. Computers 65(7): 2014-2018 (2016) - [j90]Vincenzo Rana, Ivan Beretta, Francesco Bruschi, Alessandro Antonio Nacci, David Atienza, Donatella Sciuto:
Efficient Hardware Design of Iterative Stencil Loops. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(12): 2018-2031 (2016) - [j89]Ivan Beretta, Vincenzo Rana, Abdulkadir Akin, Alessandro Antonio Nacci, Donatella Sciuto, David Atienza:
Parallelizing the Chambolle Algorithm for Performance-Optimized Mapping on FPGA Devices. ACM Trans. Embed. Comput. Syst. 15(3): 44:1-44:27 (2016) - [c282]Andrea Piscitello, Alessandro Antonio Nacci, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Ruleset Minimization in Multi-tenant Smart Buildings. CSE/EUC/DCABES 2016: 72-79 - [c281]Giuseppe Natale, Giulio Stramondo, Pietro Bressana, Riccardo Cattaneo, Donatella Sciuto, Marco D. Santambrogio:
A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops. ICCAD 2016: 77 - [c280]Andrea Purgato, Davide Tantillo, Marco Rabozzi, Donatella Sciuto, Marco D. Santambrogio:
Resource-Efficient Scheduling for Partially-Reconfigurable FPGA-Based Systems. IPDPS Workshops 2016: 189-197 - [c279]Dirk Stroobandt, Ana Lucia Varbanescu, Catalin Bogdan Ciobanu, Muhammed Al Kadi, Andreas Brokalakis, George Charitopoulos, Tim Todman, Xinyu Niu, Dionisios N. Pnevmatikatos, Amit Kulkarni, Elias Vansteenkiste, Wayne Luk, Marco D. Santambrogio, Donatella Sciuto, Michael Hübner, Tobias Becker, Georgi Gaydadjiev, Antonis Nikitakis, Alex J. W. Thom:
EXTRA: Towards the exploitation of eXascale technology for reconfigurable architectures. ReCoSoC 2016: 1-7 - [c278]Andrea Piscitello, Alessandro Antonio Nacci, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Sink state analysis in multi-tenant smart buildings. RTSI 2016: 1-4 - 2015
- [j88]Dionisios N. Pnevmatikatos, Kyprianos Papadimitriou, Tobias Becker, Peter Böhm, Andreas Brokalakis, Karel Bruneel, Catalin Bogdan Ciobanu, Tom Davidson, Georgi Gaydadjiev, Karel Heyse, Wayne Luk, Xinyu Niu, Ioannis Papaefstathiou, Danilo Pau, Oliver Pell, Christian Pilato, Marco D. Santambrogio, Donatella Sciuto, Dirk Stroobandt, Tim Todman, Elias Vansteenkiste:
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration. Microprocess. Microsystems 39(4-5): 321-338 (2015) - [c277]Catalin Bogdan Ciobanu, Ana Lucia Varbanescu, Dionisios N. Pnevmatikatos, George Charitopoulos, Xinyu Niu, Wayne Luk, Marco D. Santambrogio, Donatella Sciuto, Muhammed Al Kadi, Michael Hübner, Tobias Becker, Georgi Gaydadjiev, Andreas Brokalakis, Antonis Nikitakis, Alex J. W. Thom, Elias Vansteenkiste, Dirk Stroobandt:
EXTRA: Towards an Efficient Open Platform for Reconfigurable High Performance Computing. CSE 2015: 339-342 - [c276]Andrea Corna, L. Fontana, A. A. Nacci, Donatella Sciuto:
Occupancy detection via iBeacon on Android devices for smart building management. DATE 2015: 629-632 - [c275]Davide Pagano, Mikel Vuka, Marco Rabozzi, Riccardo Cattaneo, Donatella Sciuto, Marco D. Santambrogio:
Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems. DATE 2015: 920-923 - [c274]Matteo Ferroni, Alessandro Antonio Nacci, Matteo Turri, Marco Domenico Santambrogio, Donatella Sciuto:
Experimental Evaluation and Modeling of Thermal Phenomena on Mobile Devices. DSD 2015: 306-313 - [c273]Giovanni Bettinazzi, Alessandro Antonio Nacci, Donatella Sciuto:
Methods and Algorithms for the Interaction of Residential Smart Buildings with Smart Grids. EUC 2015: 178-182 - [c272]Andrea Corna, Andrea Damiani, Matteo Ferroni, Alessandro Antonio Nacci, Donatella Sciuto, Marco Domenico Santambrogio:
OpenMPower: An Open and Accessible Database About Real World Mobile Devices. EUC 2015: 183-187 - [c271]Alessandro Antonio Nacci, Bharathan Balaji, Paola Spoletini, Rajesh K. Gupta, Donatella Sciuto, Yuvraj Agarwal:
BuildingRules: a trigger-action based system to manage complex commercial buildings. UbiComp/ISWC Adjunct 2015: 381-384 - [c270]Riccardo Cattaneo, Mahdi Badie Moradmand, Donatella Sciuto, Marco D. Santambrogio:
K-Ways Partitioning of Polyhedral Process Networks: A Multi-level Approach. IPDPS Workshops 2015: 182-189 - [c269]Riccardo Cattaneo, Gabriele Pallotta, Donatella Sciuto, Marco D. Santambrogio:
Explicitly isolating data and computation in high level synthesis: the role of polyhedral framework. ReConFig 2015: 1-6 - [c268]Andrea Piscitello, Francesco Paduano, Alessandro Antonio Nacci, Danny Noferi, Marco D. Santambrogio, Donatella Sciuto:
Danger-system: Exploring new ways to manage occupants safety in smart building. WF-IoT 2015: 675-680 - 2014
- [j87]Alberto L. Sangiovanni-Vincentelli, Donatella Sciuto:
Looking into the Crystal Ball: From Transistors to the Smart Earth. IEEE Des. Test 31(2): 47-55 (2014) - [j86]Filippo Sironi, Donatella Sciuto, Marco D. Santambrogio:
A performance-aware quality of service-driven scheduler for multicore processors. SIGBED Rev. 11(1): 50-55 (2014) - [j85]Davide B. Bartolini, Filippo Sironi, Donatella Sciuto, Marco D. Santambrogio:
Automated Fine-Grained CPU Provisioning for Virtual Machines. ACM Trans. Archit. Code Optim. 11(3): 27:1-27:25 (2014) - [j84]Juan Antonio Clemente, Ivan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto:
A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms. ACM Trans. Reconfigurable Technol. Syst. 7(2): 9:1-9:27 (2014) - [c267]Dionisios N. Pnevmatikatos, Tobias Becker, Andreas Brokalakis, Georgi Nedeltchev Gaydadjiev, Wayne Luk, Kyprianos Papadimitriou, Ioannis Papaefstathiou, Danilo Pau, Oliver Pell, Christian Pilato, Marco D. Santambrogio, Donatella Sciuto, Dirk Stroobandt:
Effective Reconfigurable Design: The FASTER Approach. ARC 2014: 318-323 - [c266]Matteo Ferroni, Andrea Damiani, Alessandro Antonio Nacci, Donatella Sciuto, Marco Domenico Santambrogio:
cODA: An Open-Source Framework to Easily Design Context-Aware Android Apps. EUC 2014: 33-38 - [c265]Donatella Sciuto, Alessandro Antonio Nacci:
On How to Design Smart Energy-Efficient Buildings. EUC 2014: 205-208 - [c264]Alessandro Antonio Nacci, Vincenzo Rana, Donatella Sciuto:
A Perspective Vision on Complex Residential Building Management Systems. EUC 2014: 209-214 - [c263]Matteo Ferroni, Andrea Cazzola, Francesco Trovò, Donatella Sciuto, Marco Domenico Santambrogio:
On Power and Energy Consumption Modeling for Smart Mobile Devices. EUC 2014: 273-280 - [c262]Vincenzo Rana, Francesco Bruschi, Marco Paolieri, Donatella Sciuto, Marco Domenico Santambrogio:
On How to Efficiently Implement Regular Expression Matching on FPGA-Based Systems. EUC 2014: 304-309 - [c261]Alessandro Antonio Nacci, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Improving the security and the scalability of the AES algorithm (abstract only). FPGA 2014: 256 - [c260]Annamaria Andrea Vitali, Donatella Sciuto, Marco Spadafora, Margherita Pillan, Alessandro Antonio Nacci:
SPELL: affecting thermal comfort through perceptive techniques. UbiComp Adjunct 2014: 183-186 - [c259]Riccardo Cattaneo, Riccardo Bellini, Gianluca Durelli, Christian Pilato, Marco D. Santambrogio, Donatella Sciuto:
PaRA-Sched: A Reconfiguration-Aware Scheduler for Reconfigurable Architectures. IPDPS Workshops 2014: 243-250 - [c258]Alessandro Antonio Nacci, Vincenzo Rana, Donatella Sciuto, Marco Domenico Santambrogio:
An Open-Source, Efficient, and Parameterizable Hardware Implementation of the AES Algorithm. ISPA 2014: 85-92 - [c257]Fabrizio Spada, Alberto Scolari, Gianluca C. Durelli, Riccardo Cattaneo, Marco D. Santambrogio, Donatella Sciuto, Dionisios N. Pnevmatikatos, Georgi Gaydadjiev, Oliver Pell, Andreas Brokalakis, Wayne Luk, Dirk Stroobandt, Danilo Pau:
FPGA-Based Design Using the FASTER Toolchain: The Case of STM Spear Development Board. ISPA 2014: 134-141 - [c256]Alberto Scolari, Filippo Sironi, Donatella Sciuto, Marco Domenico Santambrogio:
A Survey on Recent Hardware and Software-Level Cache Management Techniques. ISPA 2014: 242-247 - [c255]Giorgio Conte, Massimo De Marchi, Alessandro Antonio Nacci, Vincenzo Rana, Donatella Sciuto:
BlueSentinel: a first approach using iBeacon for an energy efficient occupancy detection system. BuildSys 2014: 11-19 - [c254]A. A. Nacci, Giovanni Bettinazzi, Christian Pilato, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
A SystemC-based framework for the simulation of appliances networks in energy-aware smart spaces. WF-IoT 2014: 485-490 - 2013
- [j83]Vincenzo Rana, Alessandro Antonio Nacci, Ivan Beretta, Marco D. Santambrogio, David Atienza, Donatella Sciuto:
Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms. IEEE Des. Test 30(4): 71-80 (2013) - [j82]Antonio Miele, Christian Pilato, Donatella Sciuto:
A Simulation-Based Framework for the Exploration of Mapping Solutions on Heterogeneous MPSoCs. Int. J. Embed. Real Time Commun. Syst. 4(1): 22-41 (2013) - [j81]A. A. Nacci, Francesco Trovò, Filippo Maggi, Matteo Ferroni, Andrea Cazzola, Donatella Sciuto, Marco D. Santambrogio:
Adaptive and Flexible Smartphone Power Modeling. Mob. Networks Appl. 18(5): 600-609 (2013) - [c253]Filippo Sironi, Martina Maggio, Riccardo Cattaneo, Giovanni F. Del Nero, Donatella Sciuto, Marco D. Santambrogio:
ThermOS: System support for dynamic thermal management of chip multi-processors. PACT 2013: 41-50 - [c252]Jacopo Panerati, Filippo Sironi, Matteo Carminati, Martina Maggio, Giovanni Beltrame, Piotr J. Gmytrasiewicz, Donatella Sciuto, Marco D. Santambrogio:
On self-adaptive resource allocation through reinforcement learning. AHS 2013: 23-30 - [c251]Fabrizio Ferrandi, Pier Luca Lanzi, Christian Pilato, Donatella Sciuto, Antonino Tumeo:
Ant Colony Optimization for mapping, scheduling and placing in reconfigurable systems. AHS 2013: 47-54 - [c250]Christian Pilato, Riccardo Cattaneo, Gianluca Durelli, Alessandro Antonio Nacci, Marco Domenico Santambrogio, Donatella Sciuto:
A2B: An integrated framework for designing heterogeneous and reconfigurable systems. AHS 2013: 198-205 - [c249]Davide B. Bartolini, Filippo Sironi, Martina Maggio, Gianluca Durelli, Donatella Sciuto, Marco D. Santambrogio:
Towards a performance-as-a-service cloud. SoCC 2013: 26:1-26:2 - [c248]Alberto Scolari, Filippo Sironi, Davide B. Bartolini, Donatella Sciuto, Marco D. Santambrogio:
Coloring the cloud for predictable performance. SoCC 2013: 47:1-47:2 - [c247]Alessandro Antonio Nacci, Vincenzo Rana, Francesco Bruschi, Donatella Sciuto, Ivan Beretta, David Atienza:
A high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices. DAC 2013: 52:1-52:6 - [c246]A. A. Nacci, Matteo Mazzucchelli, Martina Maggio, Alessandra Bonetto, Donatella Sciuto, Marco D. Santambrogio:
Morphone.OS: Context-Awareness in Everyday Life. DSD 2013: 779-786 - [c245]Matteo Ferroni, Andrea Cazzola, Domenico Matteo, Alessandro Antonio Nacci, Donatella Sciuto, Marco Domenico Santambrogio:
MPower: gain back your android battery life! UbiComp (Adjunct Publication) 2013: 171-174 - [c244]Marco D. Santambrogio, Christian Pilato, Dionisios N. Pnevmatikatos, Kyprianos Papadimitriou, Dirk Stroobandt, Donatella Sciuto:
The FASTER vision for designing dynamically reconfigurable systems. ICICDT 2013: 5-8 - [c243]Gianluca Durelli, Alessandro Antonio Nacci, Riccardo Cattaneo, Christian Pilato, Donatella Sciuto, Marco D. Santambrogio:
A Flexible Interconnection Structure for Reconfigurable FPGA Dataflow Applications. IPDPS Workshops 2013: 192-201 - [c242]Davide B. Bartolini, Matteo Carminati, Fabio Cancare, Marco D. Santambrogio, Donatella Sciuto:
HERA Project's Holistic Evolutionary Framework. IPDPS Workshops 2013: 231-238 - [c241]Fabio Cancare, Christian Pilato, Andrea Cazzaniga, Donatella Sciuto, Marco D. Santambrogio:
D-RECS: A complete methodology to implement Self Dynamic Reconfigurable FPGA-based systems. ReCoSoC 2013: 1-6 - [c240]Riccardo Cattaneo, Christian Pilato, Gianluca Durelli, Marco Domenico Santambrogio, Donatella Sciuto:
SMASH: A heuristic methodology for designing partially reconfigurable MPSoCs. RSP 2013: 102-108 - [c239]Catalin Bogdan Ciobanu, Georgi Gaydadjiev, Christian Pilato, Donatella Sciuto:
Dataflow computing with Polymorphic Registers. ICSAMOS 2013: 314-321 - 2012
- [j80]Fabio Cancare, Davide B. Bartolini, Matteo Carminati, Donatella Sciuto, Marco D. Santambrogio:
On the Evolution of Hardware Circuits via Reconfigurable Architectures. ACM Trans. Reconfigurable Technol. Syst. 5(4): 22:1-22:22 (2012) - [c238]Paolo Roberto Grassi, Vincenzo Rana, Ivan Beretta, Donatella Sciuto:
B2IRS: A Technique to Reduce BAN-BAN Interferences in Wireless Sensor Networks. BSN 2012: 46-51 - [c237]Paolo Roberto Grassi, Ivan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto:
Knowledge-based design space exploration of wireless sensor networks. CODES+ISSS 2012: 225-234 - [c236]Alessandra Bonetto, Matteo Ferroni, Domenico Matteo, A. A. Nacci, Matteo Mazzucchelli, Donatella Sciuto, Marco D. Santambrogio:
MPower: Towards an Adaptive Power Management System for Mobile Devices. CSE 2012: 318-325 - [c235]Filippo Sironi, Davide B. Bartolini, Simone Campanoni, Fabio Cancare, Henry Hoffmann, Donatella Sciuto, Marco D. Santambrogio:
Metronome: operating system level performance management via self-adaptive computing. DAC 2012: 856-865 - [c234]Cristiana Bolchini, Antonio Miele, Donatella Sciuto:
An adaptive approach for online fault management in many-core architectures. DATE 2012: 1429-1432 - [c233]Andrea Cazzaniga, Gianluca Durelli, Christian Pilato, Donatella Sciuto, Marco D. Santambrogio:
On the Development of a Runtime Reconfigurable Multicore System-on-Chip. DSD 2012: 132-135 - [c232]Dionisios N. Pnevmatikatos, Tobias Becker, Andreas Brokalakis, Karel Bruneel, Georgi Gaydadjiev, Wayne Luk, Kyprianos Papadimitriou, Ioannis Papaefstathiou, Oliver Pell, Christian Pilato, M. Robart, Marco D. Santambrogio, Donatella Sciuto, Dirk Stroobandt, Tim Todman:
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration. DSD 2012: 234-241 - [c231]Paolo Roberto Grassi, Donatella Sciuto:
Energy-Aware FPGA-based Architecture for Wireless Sensor Networks. DSD 2012: 866-873 - [c230]Paolo Roberto Grassi, Vincenzo Rana, Ivan Beretta, Donatella Sciuto:
Tacit Consent: A Technique to Reduce Redundant Transmissions from Spatially Correlated Nodes in Wireless Sensor Networks. DSD 2012: 874-881 - [c229]Francisco J. Rincón, Paolo Roberto Grassi, Nadia Khaled, David Atienza, Donatella Sciuto:
Automated real-time atrial fibrillation detection on a wearable wireless sensor platform. EMBC 2012: 2472-2475 - [c228]Christian Pilato, Andrea Cazzaniga, Gianluca Durelli, Andrés Otero, Donatella Sciuto, Marco D. Santambrogio:
On the automatic integration of hardware accelerators into FPGA-based embedded systems. FPL 2012: 607-610 - [c227]Alessandra Bonetto, Andrea Cazzaniga, Gianluca Durelli, Christian Pilato, Donatella Sciuto, Marco D. Santambrogio:
An open-source design and validation platform for reconfigurable systems. FPL 2012: 707-710 - [c226]Marco D. Santambrogio, Fabio Cancare, Riccardo Cattaneo, S. Bhandariy, Donatella Sciuto:
An Enhanced Relocation Manager to Speedup Core Allocation in FPGA-based Reconfigurable Systems. IPDPS Workshops 2012: 336-343 - [c225]Gianluca Durelli, Federica Cresci, Donatella Sciuto, Mario Porrmann, Marco D. Santambrogio:
Mini-Robot's Performance Optimization via Online Reconfiguration and HW/SW Task Scheduling. IPDPS Workshops 2012: 437-442 - [c224]Fabio Cancare, Davide B. Bartolini, Matteo Carminati, Donatella Sciuto, Marco D. Santambrogio:
DGECS: Description Generator for Evolved Circuits Synthesis. IPDPS Workshops 2012: 454-461 - [c223]Antonio Miele, Christian Pilato, Donatella Sciuto:
An automated framework for the simulation of mapping solutions on heterogeneous MPSoCs. ISSoC 2012: 1-6 - [c222]Ivan Beretta, Francisco J. Rincón, Nadia Khaled, Paolo Roberto Grassi, Vincenzo Rana, David Atienza, Donatella Sciuto:
Model-based design for wireless body sensor network nodes. LATW 2012: 1-6 - [c221]Davide B. Bartolini, Filippo Sironi, Martina Maggio, Riccardo Cattaneo, Donatella Sciuto, Marco Domenico Santambrogio:
A Framework for Thermal and Performance Management. MAD 2012 - [c220]Gianluca Durelli, Christian Pilato, Andrea Cazzaniga, Donatella Sciuto, Marco D. Santambrogio:
Automatic run-time manager generation for reconfigurable MPSoC architectures. ReCoSoC 2012: 1-8 - [c219]Marco D. Santambrogio, Dionisios N. Pnevmatikatos, Kyprianos Papadimitriou, Christian Pilato, Georgi Gaydadjiev, Dirk Stroobandt, Tom Davidson, Tobias Becker, Tim Todman, Wayne Luk, Alessandra Bonetto, Andrea Cazzaniga, Gianluca Durelli, Donatella Sciuto:
Smart technologies for effective reconfiguration: The FASTER approach. ReCoSoC 2012: 1-7 - [c218]Alessandra Bonetto, Andrea Cazzaniga, Gianluca Durelli, Christian Pilato, Donatella Sciuto, Marco D. Santambrogio:
TaBit: A framework for task graph to bitstream generation. ICSAMOS 2012: 201-208 - [e2]Patrick Groeneveld, Donatella Sciuto, Soha Hassoun:
The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012. ACM 2012, ISBN 978-1-4503-1199-1 [contents] - 2011
- [j79]Ivan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto:
Island-Based Adaptable Embedded System Design. IEEE Embed. Syst. Lett. 3(2): 53-57 (2011) - [j78]Federico Pigni, Aurelio Ravarini, Giacomo Buonanno, Donatella Sciuto:
Interorganisational systems within SMEs aggregations: an exploratory study on information requirements of an industrial district. Int. J. Inf. Technol. Manag. 10(2/3/4): 208-232 (2011) - [j77]Alessio Montone, Marco D. Santambrogio, Francesco Redaelli, Donatella Sciuto:
Floorplacement for Partial Reconfigurable FPGA-Based Systems. Int. J. Reconfigurable Comput. 2011: 483681:1-483681:12 (2011) - [j76]Ivan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto:
A Mapping Flow for Dynamically Reconfigurable Multi-Core System-on-Chip Design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(8): 1211-1224 (2011) - [j75]Federico Nava, Donatella Sciuto, Marco D. Santambrogio, Stefan Herbrechtsmeier, Mario Porrmann, Ulf Witkowski, Ulrich Rückert:
Applying dynamic reconfiguration in the mobile robotics domain: A case study on computer vision algorithms. ACM Trans. Reconfigurable Technol. Syst. 4(3): 29:1-29:22 (2011) - [c217]Matteo Pusceddu, Simone Ceccolini, Antonino Tumeo, Gianluca Palermo, Donatella Sciuto:
Emulating Transactional Memory on FPGA Multiprocessors. ARCS 2011: 74-85 - [c216]Davide B. Bartolini, Fabio Cancare, Matteo Carminati, Donatella Sciuto:
HERA: Hardware evolution over reconfigurable architectures. CHANGE@ASPLOS 2011: 1-8 - [c215]Christian Pilato, Fabrizio Ferrandi, Donatella Sciuto:
A design methodology to implement memory accesses in high-level synthesis. CODES+ISSS 2011: 49-58 - [c214]Francesco Bruschi, Francesco Perini, Vincenzo Rana, Donatella Sciuto:
An efficient Quantum-Dot Cellular Automata adder. DATE 2011: 1220-1223 - [c213]Juan Antonio Clemente, Vincenzo Rana, Donatella Sciuto, Ivan Beretta, David Atienza:
A Hybrid Mapping-Scheduling Technique for Dynamically Reconfigurable Hardware. FPL 2011: 177-180 - [c212]Marco D. Santambrogio, Andrea Cazzaniga, Alessandra Bonetto, Donatella Sciuto:
ReBit: A Tool to Manage and Analyse FPGA-Based Reconfigurable Systems. IPDPS Workshops 2011: 220-227 - [c211]Fabio Cancare, Alessandro Marin, Donatella Sciuto:
Dedicated hardware accelerators for the epistatic analysis of human genetic data. ICSAMOS 2011: 102-109 - 2010
- [j74]Koen Bertels, Vlad Mihai Sima, Yana Yankova, Georgi Kuzmanov, Wayne Luk, José Gabriel F. Coutinho, Fabrizio Ferrandi, Christian Pilato, Marco Lattuada, Donatella Sciuto, Andrea Michelotti:
HArtes: Hardware-Software Codesign for Heterogeneous Multicore Platforms. IEEE Micro 30(5): 88-97 (2010) - [j73]Cristiana Bolchini, Donatella Sciuto:
Guest Editors' Introduction: Special Section on System-Level Design of Reliable Architectures. IEEE Trans. Computers 59(5): 577-578 (2010) - [j72]Fabrizio Ferrandi, Pier Luca Lanzi, Christian Pilato, Donatella Sciuto, Antonino Tumeo:
Ant Colony Heuristic for Mapping and Scheduling Tasks and Communications on Heterogeneous Embedded Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(6): 911-924 (2010) - [j71]Giovanni Beltrame, Luca Fossati, Donatella Sciuto:
Decision-Theoretic Design Space Exploration of Multiprocessor Platforms. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(7): 1083-1095 (2010) - [j70]Alessio Montone, Marco D. Santambrogio, Donatella Sciuto, Seda Ogrenci Memik:
Placement and Floorplanning in Dynamically Reconfigurable FPGAs. ACM Trans. Reconfigurable Technol. Syst. 3(4): 24:1-24:34 (2010) - [c210]Fabrizio Ferrandi, Christian Pilato, Donatella Sciuto, Antonino Tumeo:
Mapping and scheduling of parallel C applications with ant colony optimization onto heterogeneous reconfigurable MPSoCs. ASP-DAC 2010: 799-804 - [c209]Antonino Tumeo, Oreste Villa, Donatella Sciuto:
Efficient pattern matching on GPUs for intrusion detection systems. Conf. Computing Frontiers 2010: 87-88 - [c208]Antonino Tumeo, Francesco Regazzoni, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
A reconfigurable multiprocessor architecture for a reliable face recognition implementation. DATE 2010: 319-322 - [c207]Marco D. Santambrogio, Vincenzo Rana, Ivan Beretta, Donatella Sciuto:
Operating system runtime management of partially dynamically reconfigurable embedded systems. ESTIMedia 2010: 1-10 - [c206]Matteo Pusceddu, Simone Ceccolini, Gianluca Palermo, Donatella Sciuto, Antonino Tumeo:
A Compact Transactional Memory Multiprocessor System on FPGA. FPL 2010: 578-581 - [c205]Marco Ceriani, Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto, Antonino Tumeo:
Multiprocessor systems-on-chip synthesis using multi-objective evolutionary computation. GECCO 2010: 1267-1274 - [c204]Vincenzo Rana, Donatella Sciuto:
A novel design framework for the design of reconfigurable systems based on NoCs. ACM Great Lakes Symposium on VLSI 2010: 1-2 - [c203]Alessio Montone, Marco D. Santambrogio, Donatella Sciuto:
Wirelength driven floorplacement for FPGA-based partial reconfigurable systems. IPDPS Workshops 2010: 1-8 - [c202]Marco D. Santambrogio, Paolo Roberto Grassi, Davide Candiloro, Donatella Sciuto:
Analysis and validation of partially dynamically reconfigurable architecture based on Xilinx FPGAs. IPDPS Workshops 2010: 1-4 - [c201]Fabio Cancare, Marco D. Santambrogio, Donatella Sciuto:
A direct bitstream manipulation approach for Virtex4-based evolvable systems. ISCAS 2010: 853-856 - [c200]Ivan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto:
Run-time mapping of applications on FPGA-based reconfigurable systems. ISCAS 2010: 3329-3332 - [c199]Fabio Arlati, Francesco Bruschi, Donatella Sciuto:
Designing and validating access policies to reconfigurable resources in Multiprocessor Systems on chip. ICSAMOS 2010: 365-371 - [c198]Alessandro Panella, Marco D. Santambrogio, Francesco Redaelli, Fabio Cancare, Donatella Sciuto:
A design workflow for dynamically reconfigurable multi-FPGA systems. VLSI-SoC 2010: 414-419
2000 – 2009
- 2009
- [j69]Roberto Cordone, Francesco Redaelli, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto:
Partitioning and Scheduling of Task Graphs on Partially Dynamically Reconfigurable FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(5): 662-675 (2009) - [j68]Giovanni Agosta, Francesco Bruschi, Gerardo Pelosi, Donatella Sciuto:
A Transform-Parametric Approach to Boolean Matching. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(6): 805-817 (2009) - [j67]Giovanni Beltrame, Luca Fossati, Donatella Sciuto:
ReSP: A Nonintrusive Transaction-Level Reflective MPSoC Simulation Platform for Design Space Exploration. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(12): 1857-1869 (2009) - [j66]Simone Corbetta, Massimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto, Paola Spoletini:
Internal and External Bitstream Relocation for Partial Dynamic Reconfiguration. IEEE Trans. Very Large Scale Integr. Syst. 17(11): 1650-1654 (2009) - [c197]Fabio Cancare, Marco D. Santambrogio, Donatella Sciuto:
An application-centered design flow for self reconfigurable systems implementation. ASP-DAC 2009: 248-253 - [c196]Antonino Tumeo, Marco Branca, Lorenzo Camerini, Marco Ceriani, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
Prototyping pipelined applications on a heterogeneous FPGA multiprocessor virtual platform. ASP-DAC 2009: 317-322 - [c195]Vincenzo Rana, Srinivasan Murali, David Atienza, Marco D. Santambrogio, Luca Benini, Donatella Sciuto:
Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems. CODES+ISSS 2009: 325-334 - [c194]Antonino Tumeo, Marco Branca, Lorenzo Camerini, Christian Pilato, Pier Luca Lanzi, Fabrizio Ferrandi, Donatella Sciuto:
Mapping pipelined applications onto heterogeneous embedded systems: a bayesian optimization algorithm based approach. CODES+ISSS 2009: 443-452 - [c193]Giovanni Beltrame, Luca Fossati, Donatella Sciuto:
A real-time application design methodology for MPSoCs. DATE 2009: 767-772 - [c192]Antonino Tumeo, Christian Pilato, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
HW/SW methodologies for synchronization in FPGA multiprocessors. FPGA 2009: 265-268 - [c191]Marco D. Santambrogio, Massimo Morandi, Marco Novati, Donatella Sciuto:
A runtime relocation based workflow for self dynamic reconfigurable systems design. FPL 2009: 86-91 - [c190]Marco Branca, Lorenzo Camerini, Fabrizio Ferrandi, Pier Luca Lanzi, Christian Pilato, Donatella Sciuto, Antonino Tumeo:
Evolutionary algorithms for the mapping of pipelined applications onto heterogeneous embedded systems. GECCO 2009: 1435-1442 - [c189]Dario Cozzi, Claudia Farè, Alessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Reconfigurable NoC design flow for multiple applications run-time mapping on FPGA devices. ACM Great Lakes Symposium on VLSI 2009: 421-424 - [c188]Ivan Beretta, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
On-line task management for a reconfigurable cryptographic architecture. IPDPS 2009: 1-4 - [c187]Antonino Tumeo, Simone Borgio, Davide Bosisio, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
A multiprocessor self-reconfigurable JPEG2000 encoder. IPDPS 2009: 1-8 - [c186]Fabio Cancare, Marco Castagna, Matteo Renesto, Donatella Sciuto:
A Highly Parallel FPGA-based Evolvable Hardware Architecture. PARCO 2009: 608-615 - 2008
- [j65]Toomas P. Plaks, Marco D. Santambrogio, Donatella Sciuto:
Reconfigurable Computing and Hardware/Software Codesign. EURASIP J. Embed. Syst. 2008 (2008) - [j64]Cristiana Bolchini, Antonio Miele, Fabio Rebaudengo, Fabio Salice, Donatella Sciuto, Luca Sterpone, Massimo Violante:
Software and Hardware Techniques for SEU Detection in IP Processors. J. Electron. Test. 24(1-3): 35-44 (2008) - [j63]Christian Pilato, Antonino Tumeo, Gianluca Palermo, Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto:
Improving evolutionary exploration to area-time optimization of FPGA designs. J. Syst. Archit. 54(11): 1046-1057 (2008) - [j62]Giovanni Agosta, Francesco Bruschi, Donatella Sciuto:
Static Analysis of Transaction-Level Communication Models. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(8): 1412-1424 (2008) - [c185]Giovanni Beltrame, Luca Fossati, Donatella Sciuto:
High-Level Modeling and Exploration of Reconfigurable MPSoCs. AHS 2008: 330-337 - [c184]Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
Lightweight DMA management mechanisms for multiprocessors on FPGA. ASAP 2008: 275-280 - [c183]Carlo Curino, Luca Fossati, Vincenzo Rana, Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto:
The Shining embedded system design methodology based on self dynamic reconfigurable architectures. ASP-DAC 2008: 595-600 - [c182]Giovanni Beltrame, Cristiana Bolchini, Luca Fossati, Antonio Miele, Donatella Sciuto:
ReSP: A non-intrusive Transaction-Level Reflective MPSoC Simulation Platform for design space exploration. ASP-DAC 2008: 673-678 - [c181]Christian Pilato, Daniele Loiacono, Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto:
High-level synthesis with multi-objective genetic algorithm: A comparative encoding analysis. IEEE Congress on Evolutionary Computation 2008: 3334-3341 - [c180]Giovanni Beltrame, Luca Fossati, Donatella Sciuto:
Concurrency emulation and analysis of parallel applications for multi-processor system-on-chip co-design. CODES+ISSS 2008: 7-12 - [c179]Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto:
Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems. DATE 2008: 519-522 - [c178]Antonino Tumeo, Marco Branca, Lorenzo Camerini, Marco Ceriani, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
A Dual-Priority Real-Time Multiprocessor System on FPGA for Automotive Applications. DATE 2008: 1039-1044 - [c177]Andrea Cuoccio, Paolo Roberto Grassi, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
A Generation Flow for Self-Reconfiguration Controllers Customization. DELTA 2008: 279-284 - [c176]Alessandro Meroni, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
A Requirements-Driven Reconfigurable SoC Communication Infrastructure Design Flow. DELTA 2008: 405-409 - [c175]Alessio Montone, Marco D. Santambrogio, Donatella Sciuto:
A Design Workflow for the Identification of Area Constraints in Dynamic Reconfigurable Systems. DELTA 2008: 450-453 - [c174]Cristiana Bolchini, Antonio Miele, Donatella Sciuto:
Fault Models and Injection Strategies in SystemC Specifications. DSD 2008: 88-95 - [c173]Francesco Bruschi, Vincenzo Rana, Donatella Sciuto:
An architecture for dynamically reconfigurable real time audio processing systems. ESTIMedia 2008: 81-86 - [c172]Marco D. Santambrogio, Vincenzo Rana, Donatella Sciuto:
Operating system support for online partial dynamic reconfiguration management. FPL 2008: 455-458 - [c171]Fabio Cancare, Marco D. Santambrogio, Donatella Sciuto:
A design flow tailored for self dynamic reconfigurable architecture. IPDPS 2008: 1-8 - [c170]Alessio Montone, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
HARPE: A Harvard-based processing element tailored for partial dynamic reconfigurable architectures. IPDPS 2008: 1-8 - [c169]Marco D. Santambrogio, Donatella Sciuto:
Design methodology for partial dynamic reconfiguration: a new degree of freedom in the HW/SW codesign. IPDPS 2008: 1-8 - [c168]Massimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto:
Core Allocation and Relocation Management for a Self Dynamically Reconfigurable Architecture. ISVLSI 2008: 286-291 - [c167]Fabrizio Ferrandi, Pier Luca Lanzi, Daniele Loiacono, Christian Pilato, Donatella Sciuto:
A Multi-objective Genetic Algorithm for Design Space Exploration in High-Level Synthesis. ISVLSI 2008: 417-422 - [c166]Simone Corbetta, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
A light-weight Network-on-Chip architecture for dynamically reconfigurable systems. ICSAMOS 2008: 49-56 - [c165]Antonino Tumeo, Christian Pilato, Fabrizio Ferrandi, Donatella Sciuto, Pier Luca Lanzi:
Ant colony optimization for mapping and scheduling in heterogeneous multiprocessor systems. ICSAMOS 2008: 142-149 - [c164]Vincenzo Rana, David Atienza, Marco D. Santambrogio, Donatella Sciuto, Giovanni De Micheli:
A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication. VLSI-SoC (Selected Papers) 2008: 232-250 - [e1]Donatella Sciuto:
Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008. ACM 2008, ISBN 978-3-9810801-3-1 [contents] - 2007
- [j61]Georges G. E. Gielen, Donatella Sciuto:
Guest Editorial [intro. to the special issue on the 2006 IEEE/ACM Design, Automation and Test in Europe Conference]. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3): 405-407 (2007) - [j60]Giovanni Beltrame, Donatella Sciuto, Cristina Silvano:
Multi-Accuracy Power and Performance Transaction-Level Modeling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(10): 1830-1842 (2007) - [c163]Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
A Self-Reconfigurable Implementation of the JPEG Encoder. ASAP 2007: 24-29 - [c162]Christian Pilato, Gianluca Palermo, Antonino Tumeo, Fabrizio Ferrandi, Donatella Sciuto, Pier Luca Lanzi:
Fitness inheritance in evolutionary and multi-objective high-level synthesis. IEEE Congress on Evolutionary Computation 2007: 3459-3466 - [c161]Giovanni Agosta, Francesco Bruschi, Gerardo Pelosi, Donatella Sciuto:
A Unified Approach to Canonical Form-based Boolean Matching. DAC 2007: 841-846 - [c160]Giovanni Beltrame, Cristiana Bolchini, Luca Fossati, Antonio Miele, Donatella Sciuto:
A Framework for Reliability Assessment and Enhancement in Multi-Processor Systems-On-Chip. DFT 2007: 132-141 - [c159]Matteo Giani, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto:
Task Partitioning for the Scheduling on Reconfigurable Systems driven by Specification Self-Similarity. ERSA 2007: 78-84 - [c158]Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
A design kit for a fully working shared memory multiprocessor on FPGA. ACM Great Lakes Symposium on VLSI 2007: 219-222 - [c157]Giovanni Agosta, Francesco Bruschi, Donatella Sciuto:
An efficient cost-based canonical form for Boolean matching. ACM Great Lakes Symposium on VLSI 2007: 445-448 - [c156]Marco D. Santambrogio, Seda Ogrenci Memik, Vincenzo Rana, Umut A. Acar, Donatella Sciuto:
A novel SoC design methodology combining adaptive software and reconfigurable hardware. ICCAD 2007: 303-308 - [c155]Fabrizio Ferrandi, Luca Fossati, Marco Lattuada, Gianluca Palermo, Donatella Sciuto, Antonino Tumeo:
Automatic Parallelization of Sequential Specifications for Symmetric MPSoCs. IESS 2007: 179-192 - [c154]Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto, Boris Kettelhoit, Markus Köster, Mario Porrmann, Ulrich Rückert:
Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux. IPDPS 2007: 1-8 - [c153]Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Dynamic Reconfigurability in Embedded System Design. ISCAS 2007: 2734-2737 - [c152]Angelo P. E. Rosiello, Fabrizio Ferrandi, Davide Pandini, Donatella Sciuto:
A Hash-based Approach for Functional Regularity Extraction During Logic Synthesis. ISVLSI 2007: 92-97 - [c151]Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
A Pipelined Fast 2D-DCT Accelerator for FPGA-based SoCs. ISVLSI 2007: 331-336 - [c150]Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
An Internal Partial Dynamic Reconfiguration Implementation of the JPEG Encoder for Low-Cost FPGAsb. ISVLSI 2007: 449-450 - [c149]Simone Corbetta, Fabrizio Ferrandi, Massimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto:
Two Novel Approaches to Online Partial Bitstream Relocation in a Dynamically Reconfigurable System. ISVLSI 2007: 457-458 - [c148]Antonino Tumeo, Marco Branca, Lorenzo Camerini, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto:
An Interrupt Controller for FPGA-based Multiprocessors. ICSAMOS 2007: 82-87 - [c147]Fabrizio Ferrandi, Pier Luca Lanzi, Gianluca Palermo, Christian Pilato, Donatella Sciuto, Antonino Tumeo:
An Evolutionary Approach to Area-Time Optimization of FPGA designs. ICSAMOS 2007: 145-152 - [c146]Vincenzo Rana, Chiara Sandionigi, Marco D. Santambrogio, Donatella Sciuto:
An adaptive genetic algorithm for dynamically reconfigurable modules allocation. VLSI-SoC (Selected Papers) 2007: 1-18 - [c145]Vincenzo Rana, Chiara Sandionigi, Marco D. Santambrogio, Donatella Sciuto:
An adaptive genetic algorithm for dynamically reconfigurable modules allocation. VLSI-SoC 2007: 128-133 - [i1]Cristiana Bolchini, Fabio Salice, Donatella Sciuto, Luigi Pomante:
Reliable System Specification for Self-Checking Data-Paths. CoRR abs/0710.4685 (2007) - 2006
- [j59]Carlo Brandolese, William Fornaciari, Luigi Pomante, Fabio Salice, Donatella Sciuto:
Affinity-Driven System Design Exploration for Heterogeneous Multiprocessor SoC. IEEE Trans. Computers 55(5): 508-519 (2006) - [c144]Roberto Cordone, Fabrizio Ferrandi, Marco D. Santambrogio, Gianluca Palermo, Donatella Sciuto:
Using speculative computation and parallelizing techniques to improve scheduling of control based designs. ASP-DAC 2006: 898-904 - [c143]Giovanni Beltrame, Dario Bruschi, Donatella Sciuto, Cristina Silvano:
Decision-theoretic exploration of multiProcessor platforms. CODES+ISSS 2006: 205-210 - [c142]Giovanni Beltrame, Donatella Sciuto, Cristina Silvano, Damien Lyonnard, Chuck Pilkington:
Exploiting TLM and object introspection for system-level simulation. DATE 2006: 100-105 - [c141]Maurizio Rebaudengo, Luca Sterpone, Massimo Violante, Cristiana Bolchini, Antonio Miele, Donatella Sciuto:
Combined software and hardware techniques for the design of reliable IP processors. DFT 2006: 265-273 - [c140]Carlo Amicucci, Fabrizio Ferrandi, Marco D. Santambrogio, Donatella Sciuto:
SyCERS: a SystemC Design Exploration Framework for SoC Reconfigurable Architecture. ERSA 2006: 63-69 - [c139]Giovanni Agosta, Francesco Bruschi, Marco D. Santambrogio, Donatella Sciuto:
Synthesis of Object Oriented Models on Reconfigurable Hardware. ERSA 2006: 249-250 - [c138]Marco D. Santambrogio, Donatella Sciuto:
Partial Dynamic Reconfiguration: The Caronte Approach. A New Degree of Freedom in the HW/SW Codesign. FPL 2006: 1-2 - [c137]Vincenzo Rana, Marco D. Santambrogio, Seda Ogrenci Memik, Donatella Sciuto:
Combining hardware reconfiguration and adaptive computation for a novel SoC design methodology. FPT 2006: 293-296 - [c136]Fabrizio Ferrandi, Marco Novati, Massimo Morandi, Marco D. Santambrogio, Donatella Sciuto:
Dynamic Reconfiguration: Core Relocation via Partial Bitstreams Filtering with Minimal Overhead. SoC 2006: 1-4 - [c135]Tiziana Gravagnoli, Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto:
Automatic Test Pattern Generation with BOA. PPSN 2006: 423-432 - [c134]Simone Borgio, Davide Bosisio, Fabrizio Ferrandi, Matteo Monchiero, Marco D. Santambrogio, Donatella Sciuto, Antonino Tumeo:
Hardware DWT accelerator for MultiProcessor System-on-Chip on FPGA. ICSAMOS 2006: 107-114 - [c133]Marco Giorgetta, Marco D. Santambrogio, Donatella Sciuto, Paola Spoletini:
A graph-coloring approach to the allocation and tasks scheduling for reconfigurable architectures. VLSI-SoC 2006: 24-29 - [c132]Matteo Murgida, Alessandro Panella, Vincenzo Rana, Marco D. Santambrogio, Donatella Sciuto:
Fast IP-Core Generation in a Partial Dynamic Reconfiguration Workflow. VLSI-SoC 2006: 74-79 - [c131]Giovanni Beltrame, Donatella Sciuto, Cristina Silvano, Pierre G. Paulin, Essaid Bensoudane:
An Application Mapping Methodology and Case Study for Multi-Processor On-Chip Architectures. VLSI-SoC 2006: 146-151 - [c130]Giovanni Beltrame, Donatella Sciuto, Cristina Silvano:
A Power-Efficient Methodology for Mapping Applications on Multi-Processor, System-on-Chip Architectures. VLSI-SoC (Selected Papers) 2006: 177-196 - 2005
- [j58]Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon:
Reducing the complexity of instruction-level power models for VLIW processors. Des. Autom. Embed. Syst. 10(1): 49-67 (2005) - [j57]Francesco Bruschi, Fabrizio Ferrandi, Donatella Sciuto:
A Framework for the Functional Verification of SystemC Models. Int. J. Parallel Program. 33(6): 667-695 (2005) - [j56]Giacomo Buonanno, Paolo Faverio, Federico Pigni, Aurelio Ravarini, Donatella Sciuto, Marco Tagliavini:
Factors affecting ERP system adoption: A comparative analysis between SMEs and large companies. J. Enterp. Inf. Manag. 18(4): 384-426 (2005) - [c129]Giacomo Buonanno, Federico Pigni, Aurelio Ravarini, Donatella Sciuto:
Exploring the Role of Inter-Organizational Information Systems within SMEs Aggregations. Bled eConference 2005: 26 - [c128]Cristiana Bolchini, Fabio Salice, Donatella Sciuto, Luigi Pomante:
Reliable System Specification for Self-Checking Data-Paths. DATE 2005: 1278-1283 - [c127]Cristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto:
A model of soft error effects in generic IP processors. DFT 2005: 334-342 - [c126]Giovanni Agosta, Francesco Bruschi, Marco D. Santambrogio, Donatella Sciuto:
A Data Oriented Approach to the Design of Reconfigurable Stream Decoders. ESTIMedia 2005: 107-112 - [c125]Alberto Donato, Fabrizio Ferrandi, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto:
Caronte: A Complete Methodology for the Implementation of Partially Dynamically Self-Reconfiguring Systems on FPGA Platforms. FCCM 2005: 321-322 - [c124]Francesco Bruschi, Federico Moro, Donatella Sciuto:
Mapping Interface Method Calls over OCP Buses. FDL 2005: 279-283 - [c123]Giovanni Agosta, Francesco Bruschi, Donatella Sciuto:
Aspect Orientation in System Level Design. FDL 2005: 397-401 - [c122]Paolo Faverio, Donatella Sciuto, Giacomo Buonanno:
Using Critical Success Factors for Assessing Critical Activities in ERP Implementation within SMEs. ICEIS (1) 2005: 285-292 - [c121]Fabrizio Ferrandi, Marco D. Santambrogio, Donatella Sciuto:
A Design Methodology for Dynamic Reconfiguration: The Caronte Architecture. IPDPS 2005 - [c120]Alberto Donato, Fabrizio Ferrandi, Marco D. Santambrogio, Donatella Sciuto:
Operating system support for dynamically reconfigurable SoC architectures. SoCC 2005: 233-238 - [c119]Alberto Donato, Fabrizio Ferrandi, Massimo Redaelli, Marco D. Santambrogio, Donatella Sciuto:
Caronte: A methodology for the Implementation of Partially dynamically Self-Reconfiguring Systems on FPGA Platforms. VLSI-SoC 2005: 87-109 - 2004
- [c118]Giovanni Beltrame, Gianluca Palermo, Donatella Sciuto, Cristina Silvano:
Plug-in of power models in the StepNP exploration platform: analysis of power/performance trade-offs. CASES 2004: 85-92 - [c117]Donatella Sciuto, Grant Martin, Wolfgang Rosenstiel, Stuart Swan, Frank Ghenassia, Peter Flake, Johny Srouji:
SystemC and SystemVerilog: Where do They Fit? Where are They Going? DATE 2004: 122-129 - [c116]Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
Analysis and Modeling of Energy Reducing Source Code Transformations. DATE 2004: 306-311 - [c115]Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto, Mara Tanelli:
System-level metrics for hardware/software architectural mapping. DELTA 2004: 231-236 - [c114]Giovanni Agosta, Francesco Bruschi, Donatella Sciuto:
Synthesis of Dynamic Class Loading Specifications on Reconfigurable Hardware. DELTA 2004: 431-433 - [c113]Cristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto, Luigi Pomante:
Reliable System Co-Design: The FIR Case Study. DFT 2004: 433-441 - [c112]Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto:
System Level Hardware-Software Design Exploration with XCS. GECCO (2) 2004: 763-773 - [c111]Francesco Bruschi, Paolo Faverio, Robert Hodges, L. Mari, Daniele Restelli, Donatella Sciuto:
Virtual Community in the Classroom: An Innovating Tool for Elearning. EDUTECH 2004: 123-132 - 2003
- [j55]Cristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto:
The design of reliable devices for mission-critical applications. IEEE Trans. Instrum. Meas. 52(6): 1703-1712 (2003) - [j54]Fabrizio Ferrandi, Franco Fummi, Graziano Pravadelli, Donatella Sciuto:
Identification of design errors through functional testing. IEEE Trans. Reliab. 52(4): 400-412 (2003) - [c110]Fabrizio Ferrandi, Pier Luca Lanzi, Donatella Sciuto:
Mining interesting patterns from hardware-software codesign data with the learning classifier system XCS. IEEE Congress on Evolutionary Computation 2003: 1486-1492 - [c109]Giovanni Agosta, Francesco Bruschi, Donatella Sciuto:
Static analysis of transaction-level models. DAC 2003: 448-453 - [c108]Heinz-Josef Schlebusch, Gary Smith, Donatella Sciuto, Daniel Gajski, Carsten Mielenz, Christopher K. Lennard, Frank Ghenassia, Stuart Swan, Joachim Kunkel:
Transaction Based Design: Another Buzzword or the Solution to a Design Problem? DATE 2003: 10876-10879 - [c107]Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
Library Functions Timing Characterization for Source-Level Analysis. DATE 2003: 11132-11133 - [c106]Cristiana Bolchini, Fabio Salice, Donatella Sciuto, R. Zavaglia:
An Integrated Design Approach for Self-Checking FPGAs. DFT 2003: 443-450 - [c105]Fabio Salice, William Fornaciari, Luigi Pomante, Donatella Sciuto:
An Internal Representation Model for System-Level Co-Design of Heterogeneous Multiprocessor Embedded System. FDL 2003: 669-680 - [c104]Lorenzo Salvemini, Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon:
A Methodology for the Efficient Architectural Exploration of Energy-Delay Trade-offs for Embedded Systems. SAC 2003: 672-678 - 2002
- [j53]William Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria:
A Sensitivity-Based Design Space Exploration Methodology for Embedded Systems. Des. Autom. Embed. Syst. 7(1-2): 7-33 (2002) - [j52]Cristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto:
Reliability Properties Assessment at System Level: A Co-Design Framework. J. Electron. Test. 18(3): 351-356 (2002) - [j51]Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
The Impact of Source Code Transformations on Software Power and Energy Consumption. J. Circuits Syst. Comput. 11(5): 477-502 (2002) - [j50]Giuseppe Biasoli, Fabrizio Ferrandi, Alessandro Fin, Franco Fummi, Donatella Sciuto:
Behavioral test generation for the selection of BIST logic. J. Syst. Archit. 47(10): 821-829 (2002) - [j49]Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto:
Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications. IEEE Trans. Computers 51(2): 200-215 (2002) - [j48]Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria:
An instruction-level energy model for embedded VLIW architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(9): 998-1010 (2002) - [j47]Carlo Brandolese, Fabio Salice, William Fornaciari, Donatella Sciuto:
Static power modeling of 32-bit microprocessors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(11): 1306-1316 (2002) - [j46]Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon:
Low-power data forwarding for VLIW embedded architectures. IEEE Trans. Very Large Scale Integr. Syst. 10(5): 614-622 (2002) - [c103]Donatella Sciuto, Fabio Salice, Luigi Pomante, William Fornaciari:
Metrics for design space exploration of heterogeneous multiprocessor embedded systems. CODES 2002: 55-60 - [c102]Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon:
Energy estimation and optimization of embedded VLIW processors based on instruction clustering. DAC 2002: 886-891 - [c101]Fabrizio Ferrandi, Michele Rendine, Donatella Sciuto:
Functional Verification for SystemC Descriptions Using Constraint Solving. DATE 2002: 744-751 - [c100]Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon:
An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores. DATE 2002: 1128 - [c99]Francesco Bruschi, Michele Chiamenti, Fabrizio Ferrandi, Donatella Sciuto:
Error Simulation Based on the SystemC Design Description Language. DATE 2002: 1135 - [c98]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
Designing Self-Checking FPGAs through Error Detection Codes. DFT 2002: 60-68 - [c97]Cristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto:
A System Level Approach in Designing Dual-Duplex Fault Tolerant Embedded Systems. IOLTW 2002: 32- - [c96]William Fornaciari, Vito Trianni, Carlo Brandolese, Donatella Sciuto, Fabio Salice, Giovanni Beltrame:
Modeling Assembly Instruction Timing in Superscalar Architectures. ISSS 2002: 132-137 - 2001
- [j45]Fabiano Cattaneo, Alfonso Fuggetta, Donatella Sciuto:
Pursuing coherence in software process assessment and improvement. Softw. Process. Improv. Pract. 6(1): 3-22 (2001) - [j44]Roberto Cordone, Fabrizio Ferrandi, Donatella Sciuto, Roberto Wolfler Calvo:
An efficient heuristic approach to solve the unate covering problem. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(12): 1377-1388 (2001) - [c95]Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
Source-level execution time estimation of C programs. CODES 2001: 98-103 - [c94]William Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria:
A design framework to efficiently explore energy-delay tradeoffs. CODES 2001: 260-265 - [c93]Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon:
Exploiting data forwarding to reduce the power budget of VLIW embedded processors. DATE 2001: 252-257 - [c92]Fabrizio Ferrandi, G. Ferrara, Donatella Sciuto, Alessandro Fin, Franco Fummi:
Functional test generation for behaviorally sequential models. DATE 2001: 403-410 - [c91]Giovanni Beltrame, Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto, Vito Trianni:
An Assembly-Level Execution-Time Model for Pipelined Architectures. ICCAD 2001: 195-200 - [c90]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
Designing Reliable Embedded Systems Based on 32 Bit Microprocessors. IOLTW 2001: 137 - [c89]Cristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto:
Reliability Properties Assessment at System Level: A Co-design Framework. IOLTW 2001: 165-171 - [c88]William Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria:
Fast system-level exploration of memory architectures driven by energy-delay metrics. ISCAS (4) 2001: 502-505 - [c87]Cristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto:
On-line fault detection in a hardware/software co-design environment. ISSS 2001: 51-56 - [c86]Giovanni Beltrame, Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto, Vito Trianni:
Dynamic modeling of inter-instruction effects for execution time estimation. ISSS 2001: 136-141 - 2000
- [j43]Donatella Sciuto:
Guest Editor's Introduction: Design Tools for Embedded Systems. IEEE Des. Test Comput. 17(2): 11-13 (2000) - [j42]Giacomo Buonanno, Franco Fummi, Donatella Sciuto:
An extended-UIO-based method for protocol conformance testing. J. Syst. Archit. 46(3): 225-242 (2000) - [j41]Franco Fummi, Donatella Sciuto:
A Hierarchical Test Generation Approach for Large Controllers. IEEE Trans. Computers 49(4): 289-302 (2000) - [j40]Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto:
Symbolic optimization of interacting controllers based onredundancy identification and removal. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(7): 760-772 (2000) - [j39]Cristiana Bolchini, R. Montandon, Fabio Salice, Donatella Sciuto:
Design of VHDL-based totally self-checking finite-state machine and data-path descriptions. IEEE Trans. Very Large Scale Integr. Syst. 8(1): 98-103 (2000) - [c85]Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
Energy estimation for 32-bit microprocessors. CODES 2000: 24-28 - [c84]William Fornaciari, M. Polentarutti, Donatella Sciuto, Cristina Silvano:
Power optimization of system-level address buses based on software profiling. CODES 2000: 29-33 - [c83]Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria:
Instruction-level power estimation for embedded VLIW cores. CODES 2000: 34-38 - [c82]Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
An instruction-level functionally-based energy estimation model for 32-bits microprocessors. DAC 2000: 346-351 - [c81]Roberto Cordone, Fabrizio Ferrandi, Donatella Sciuto, Roberto Wolfler Calvo:
An Efficient Heuristic Approach to Solve the Unate Covering Problem. DATE 2000: 364-371 - [c80]Giuseppe Biasoli, Fabrizio Ferrandi, Donatella Sciuto, Alessandro Fin, Franco Fummi:
BIST Architectures Selection Based on Behavioral Testing. DFT 2000: 292-298 - [c79]M. Beardo, Francesco Bruschi, Fabrizio Ferrandi, Donatella Sciuto:
An approach to functional testing of VLIW architectures. HLDVT 2000: 29-33 - [c78]Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria:
Power Exploration for Embedded VLIW Architectures. ICCAD 2000: 498-503 - [c77]Fabrizio Ferrandi, Donatella Sciuto, Alessandro Fin, Franco Fummi:
An Application of Genetic Algorithms and BDDs to Functional Testing. ICCD 2000: 48-56 - [c76]Giacomo Buonanno, Stefano Gramignoli, Aurelio Ravarini, Marco Tagliavini, Donatella Sciuto:
ICT diffusion and strategic role within Italian SMEs. IRMA Conference 2000: 373-378 - [c75]Paolo Bacchetta, Lidia Daldoss, Donatella Sciuto, Cristina Silvano:
Low-power state assignment techniques for finite state machines. ISCAS 2000: 641-644 - [c74]Carlo Brandolese, William Fornaciari, Luigi Pomante, Fabio Salice, Donatella Sciuto:
A Multi-Level Strategy for Software Power Estimation. ISSS 2000: 187-192 - [c73]Fabrizio Ferrandi, G. Fornara, Donatella Sciuto, G. Ferrara, Franco Fummi:
Testability Alternatives Exploration through Functional Testing. VTS 2000: 423-430
1990 – 1999
- 1999
- [j38]Franco Fummi, Donatella Sciuto, Micaela Serra:
Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal. IEEE Trans. Computers 48(12): 1305-1323 (1999) - [c72]William Fornaciari, Donatella Sciuto:
HW/SW Co-design of Embedded Systems. Ada-Europe 1999: 344-355 - [c71]William Fornaciari, Donatella Sciuto, Cristina Silvano:
Power estimation for architectural exploration of HW/SW communication on system-level buses. CODES 1999: 152-156 - [c70]Fabrizio Ferrandi, Franco Fummi, Luca Gerli, Donatella Sciuto:
Symbolic Functional Vector Generation for VHDL Specifications. DATE 1999: 442- - [c69]William Fornaciari, Donatella Sciuto, Cristina Silvano:
Influence of Caching and Encoding on Power Dissipation of System-Level Buses for Embedded Systems. DATE 1999: 762-763 - [c68]Marco Brera, Fabrizio Ferrandi, Donatella Sciuto, Franco Fummi:
Increase the Behavioral Fault Model Accuracy Using High-Level Synthesis Information. DFT 1999: 174-180 - [c67]Cristiana Bolchini, Luigi Pomante, Donatella Sciuto, Fabio Salice:
A Synthesis Methodology Aimed at Improving the Quality of TSC Devices. DFT 1999: 247-255 - [c66]William Fornaciari, Donatella Sciuto, Cristina Silvano:
Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study. ICCD 1999: 131- - 1998
- [j37]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
Fault Analysis for Networks with Concurrent Error Detection. IEEE Des. Test Comput. 15(4): 66-74 (1998) - [j36]Alessandro Balboni, William Fornaciari, Donatella Sciuto:
Partitioning of Hardware-Software Embedded Systems: A Metrics-based Approach. Integr. Comput. Aided Eng. 5(1): 39-56 (1998) - [j35]Alessandro Balboni, Claudio Costi, Massimo Pellencin, Andrea Quadrini, Donatella Sciuto:
Clock skew reduction in ASIC logic design: a methodology for clock tree management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(4): 344-356 (1998) - [j34]William Fornaciari, Paolo Gubian, Donatella Sciuto, Cristina Silvano:
Power estimation of embedded systems: a hardware/software codesign approach. IEEE Trans. Very Large Scale Integr. Syst. 6(2): 266-275 (1998) - [j33]Franco Fummi, Donatella Sciuto, Cristina Silvano:
Automatic generation of error control codes for computer applications. IEEE Trans. Very Large Scale Integr. Syst. 6(3): 502-506 (1998) - [j32]Cesare Alippi, Franco Fummi, Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto:
Testability analysis and behavioral testing of the Hopfield neural paradigm. IEEE Trans. Very Large Scale Integr. Syst. 6(3): 507-511 (1998) - [c65]Alberto Allara, William Fornaciari, Fabio Salice, Donatella Sciuto:
A Model for System-Level Timed Analysis and Profiling. DATE 1998: 204-210 - [c64]Luca Benini, Giovanni De Micheli, Donatella Sciuto, Enrico Macii, Cristina Silvano:
Address Bus Encoding Techniques for System-Level Power Optimization. DATE 1998: 861-866 - [c63]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
Fault Analysis in Networks with Concurrent Error Detection Properties. DATE 1998: 957-958 - [c62]Donatella Sciuto, Cristina Silvano, Renato Stefanelli:
Systematic AUED Codes for Self-Checking Architectures. DFT 1998: 183-191 - [c61]F. S. Bietti, Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto:
VHDL Testability Analysis Based on Fault Clustering and Implicit Fault Injection. Great Lakes Symposium on VLSI 1998: 237-242 - [c60]Alberto Allara, Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto:
System-level performance estimation strategy for sw and hw. ICCD 1998: 48-53 - [c59]D. Corvino, Italo Epicoco, Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto:
Automatic VHDL restructuring for RTL synthesis optimization and testability improvement. ICCD 1998: 436-441 - [c58]Cristiana Bolchini, William Fornaciari, Fabio Salice, Donatella Sciuto:
Concurrent Error Detection at Architectural Level. ISSS 1998: 72-75 - [c57]Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto:
Implicit test generation for behavioral VHDL models. ITC 1998: 587-596 - 1997
- [j31]William Fornaciari, Donatella Sciuto:
A Two-Level Cosimulation Environment. Computer 30(6): 109-111 (1997) - [j30]Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto, Enrico Macii, Massimo Poncino:
Testing Core-Based Systems: A Symbolic Methodology. IEEE Des. Test Comput. 14(4): 69-77 (1997) - [j29]Franco Fummi, Donatella Sciuto:
A complete testing strategy based on interacting and hierarchical FSMs. Integr. 23(1): 75-93 (1997) - [j28]Donatella Sciuto:
Special section on VHDL. J. Syst. Archit. 44(1): 1-2 (1997) - [j27]William Fornaciari, Paolo Gubian, Donatella Sciuto, Cristina Silvano:
A VHDL-based approach for power estimation of embedded systems. J. Syst. Archit. 44(1): 37-61 (1997) - [j26]Franco Fummi, U. Rovati, Donatella Sciuto:
Functional design for testability of control-dominated architectures. ACM Trans. Design Autom. Electr. Syst. 2(2): 98-122 (1997) - [c56]Alberto Allara, S. Filipponi, Fabio Salice, William Fornaciari, Donatella Sciuto:
A Flexible Model for Evaluating the Behavior of Hardware/Software Systems. CODES 1997: 109-114 - [c55]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
A novel methodology for designing TSC networks based on the parity bit code. ED&TC 1997: 440-444 - [c54]Cristiana Bolchini, Giacomo Buonanno, M. Cozzini, Donatella Sciuto, Renato Stefanelli:
Designing Ad-Hoc Codes for the Realization of Fault Tolerant CMOS Networks. DFT 1997: 204-211 - [c53]Cristiana Bolchini, Donatella Sciuto, Fabio Salice:
Designing Networks with Error Detection Properties through the Fault-Error Relation. DFT 1997: 290-297 - [c52]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
Parity Bit Code: Achieving a Complete Fault Coverage in the Design of TSC Combinational Networks. Great Lakes Symposium on VLSI 1997: 32- - [c51]Luca Benini, Giovanni De Micheli, Enrico Macii, Donatella Sciuto, Cristina Silvano:
Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems. Great Lakes Symposium on VLSI 1997: 77-82 - [c50]Giacomo Buonanno, Fabrizio Ferrandi, L. Ferrandi, Franco Fummi, Donatella Sciuto:
How an "Evolving" Fault Model Improves the Behavioral Test Generation. Great Lakes Symposium on VLSI 1997: 124- - [c49]Alberto Allara, S. Filipponi, William Fornaciari, Fabio Salice, Donatella Sciuto:
Improving Design Turnaround Time via Two-Levels Hw/Sw Co-Simulation. ICCD 1997: 400-405 - [c48]Cristiana Bolchini, Donatella Sciuto, Fabio Salice:
A TSC Evaluation Function for Combinational Circuits. ICCD 1997: 555-560 - [c47]M. Bacis, Giacomo Buonanno, Fabrizio Ferrandi, Franco Fummi, Luca Gerli, Donatella Sciuto:
Application of a Testing Framework to VHDL Descriptions at Different Abstraction Levels. ICCD 1997: 654-658 - [c46]Franco Fummi, Donatella Sciuto:
Implicit test pattern generation constrained to cellular automata embedding. VTS 1997: 54-59 - 1996
- [j25]Alessandro Balboni, William Fornaciari, Donatella Sciuto:
Co-synthesis and co-simulation of control-dominated embedded systems. Des. Autom. Embed. Syst. 1(3): 257-289 (1996) - [j24]G. Buonannoa, Franco Fummi, Donatella Sciuto, Fabrizio Lombardi:
FsmTest: Functional test generation for sequential circuits. Integr. 20(3): 303-325 (1996) - [j23]Donatella Sciuto:
VHDL( VHSIC Hardware Description Language). J. Syst. Archit. 42(2): 95-96 (1996) - [c45]Alessandro Balboni, William Fornaciari, Donatella Sciuto:
Partitioning and Exploration Strategies in the TOSCA Co-Design Flow. CODES 1996: 62-69 - [c44]Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto:
Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques. DAC 1996: 467-470 - [c43]Stefano Barbagallo, Monica Lobetti Bodoni, Davide Medina, Gabriel de Blasio, M. Ferloni, Franco Fummi, Donatella Sciuto:
A Parametric Design of a Built-in Self-Test FIFO Embedded Memory. DFT 1996: 221-230 - [c42]Cristiana Bolchini, Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
Fault detection and fault tolerance issues at CMOS level through AUED encoding. DFT 1996: 258-267 - [c41]Cristiana Bolchini, Fabio Salice, Donatella Sciuto:
Redundant Faults in TSC Networks: Definition and Removal. DFT 1996: 277-285 - [c40]Donatella Sciuto, Luciano Baresi, Cristiana Bolchini:
Software methodologies for VHDL code static analysis based on flow graphs. EURO-DAC 1996: 406-411 - [c39]Enrico Macii, Massimo Poncino, Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto:
BDD-based testability estimation of VHDL designs. EURO-DAC 1996: 444-449 - [c38]Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto:
Test Generation for Networks of Interacting FSMs Using Symbolic Techniques. Great Lakes Symposium on VLSI 1996: 208-213 - [c37]Alessandro Balboni, William Fornaciari, Massimo Vincenzi, Donatella Sciuto:
The Use of a Virtual Instruction Set for the Software Synthesis of HW/SW Embedded Systems. ISSS 1996: 77-82 - 1995
- [j22]Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto:
Testability of artificial neural networks: A behavioral approach. J. Electron. Test. 6(2): 179-190 (1995) - [j21]Giacomo Buonanno, Franco Fummi, Donatella Sciuto:
TIES: A testability increase expert system for VLSI design. J. Electron. Test. 6(2): 203-217 (1995) - [j20]Claudio Costi, Micaela Serra, Donatella Sciuto:
A new DFT methodology for sequential circuits. J. Electron. Test. 7(3): 223-240 (1995) - [j19]Luca Penzo, Donatella Sciuto, Cristina Silvano:
Construction techniques for systematic SEC-DED codes with single byte error detection and partial correction capability for computer memory systems. IEEE Trans. Inf. Theory 41(2): 584-591 (1995) - [c36]Franco Fummi, Donatella Sciuto, Micaela Serra:
Sequential logic minimization based on functional testability. ED&TC 1995: 207-211 - [c35]Alessandro Balboni, Claudio Costi, A. Pellencin, M. Quadrini, Donatella Sciuto:
Automatic clock tree generation in ASIC designs. ED&TC 1995: 351-357 - [c34]Cristiana Bolchini, R. Montandon, Fabio Salice, Donatella Sciuto:
Self-checking FSMs based on a constant distance state encoding. DFT 1995: 269-277 - [c33]Franco Fummi, U. Rovati, Donatella Sciuto:
Testable synthesis of high complex control devices. EURO-DAC 1995: 117-122 - [c32]Franco Fummi, Donatella Sciuto, M. Serro:
Synthesis for testability of large complexity controllers. ICCD 1995: 180-185 - [c31]Luca Penzo, Donatella Sciuto, Cristina Silvano:
GECO: A Tool for Automatic Generation of Error Control Codes for Computer Applications. ISCAS 1995: 912-915 - [c30]Giacomo Buonanno, Fabio Salice, Donatella Sciuto:
Behavior of Self-Checking Checkers for 1-out-of-3 Codes Based on Pass-Transistor Logic. ISCAS 1995: 1924-1927 - [c29]Giacomo Buonanno, Fabrizio Ferrandi, Donatella Sciuto:
Data Path Testability Analysis Based on BDDs. ISCAS 1995: 2012-2014 - [c28]Cristiana Bolchini, Donatella Sciuto:
An Output/State Encoding for Self-Checking Finite State Machine. ISCAS 1995: 2136-2139 - [c27]Cristiana Bolchini, Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
A new switching-level approach to multiple-output functions synthesis. VLSI Design 1995: 125-129 - [c26]Luca Penzo, Donatella Sciuto, Cristina Silvano:
VLSI design of systematic odd-weight-column byte error detecting SEC-DED codes. VLSI Design 1995: 156-160 - 1994
- [j18]Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
Innovative Structures for CMOS Combinational Gates Synthesis. IEEE Trans. Computers 43(4): 385-399 (1994) - [j17]Massimo Bombana, Giacomo Buonanno, Patrizia Cavalloro, Fabrizio Ferrandi, Donatella Sciuto, Giuseppe Zaza:
ALADIN: a multilevel testability analyzer for VLSI system design. IEEE Trans. Very Large Scale Integr. Syst. 2(2): 157-171 (1994) - [c25]Stefano Antoniazzi, Alessandro Balboni, William Fornaciari, Donatella Sciuto:
A methodology for control-dominated systems codesign. CODES 1994: 2-9 - [c24]Cristiana Bolchini, Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
A CMOS Fault Tolerant Architecture for Swith-Level Faults. DFT 1994: 10-18 - [c23]Fabio Salice, Mariagiovanna Sami, Donatella Sciuto:
Synthesis of Multi-level Self-Checking Logic. DFT 1994: 115-123 - [c22]Franco Fummi, Donatella Sciuto, Micaela Serra:
Test Generation for Stuck-at and Gate-Delay Faults in Sequential Circuits: A Mixed Functional/Structural Method. DFT 1994: 254-262 - [c21]Franco Fummi, Donatella Sciuto, Micaela Serra:
A Functional Approach to Delay Faults Test Generation for Sequential Circuits. EDAC-ETC-EUROASIC 1994: 51-57 - [c20]Donatella Sciuto, Stefano Antoniazzi, Alessandro Balboni, William Fornaciari:
The role of VHDL within the TOSCA hardware/software codesign framework. EURO-DAC 1994: 612-617 - [c19]Alessandro Balboni, Claudio Costi, Franco Fummi, Donatella Sciuto:
From Behavioral Description to Systolic Array Based Architectures. EDAC-ETC-EUROASIC 1994: 657 - [c18]Stefano Antoniazzi, Alessandro Balboni, William Fornaciari, Donatella Sciuto:
HW/SW Codesign for Embedded Telecom Systems. ICCD 1994: 278-281 - [c17]Cristiana Bolchini, Franco Fummi, Donatella Sciuto:
Two-Dimensional Sequential Array Architectures: Design for Testability Approaches. ISCAS 1994: 81-84 - [c16]Cristiana Bolchini, Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
CMOS Reliability Improvements Through a New Fault Tolerant Technique. ISCAS 1994: 83-86 - [c15]Margherita Pillan, Donatella Sciuto:
Constraint Generation & Placement for Automatic Layout Design of Analog Integrated Circuits. ISCAS 1994: 355-358 - 1993
- [j16]Giacomo Buonanno, Fabrizio Lombardi, Donatella Sciuto, Yinan N. Shen:
Fault detection in TFCMOS/DFCMOS combinational gates. Integr. 15(2): 201-227 (1993) - [j15]M. G. Sami, Donatella Sciuto, Renato Stefanelli:
Concurrently self-checking structures for Fsms. Microprocess. Microprogramming 39(2-5): 237-240 (1993) - [c14]Giacomo Buonanno, Franco Fummi, Donatella Sciuto:
Fault Detection in Sequential Circuits through Functional Testing. DFT 1993: 191-198 - [c13]Massimo Bombana, Giacomo Buonanno, Patrizia Cavalloro, Fabrizio Ferrandi, Donatella Sciuto, Giuseppe Zaza:
Reduction of Fault Detection Costs through Testable Design of Sequential Architectures with Signal Feedbacks. DFT 1993: 223-230 - [c12]Xiao Sun, Fabrizio Lombardi, Donatella Sciuto:
On the minimal test set for single fault location. EURO-DAC 1993: 265-270 - [c11]Giacomo Buonanno, Franco Fummi, Donatella Sciuto:
Functional Fault Models and Gate Level Coverage for Sequential Architectures. ICCD 1993: 572-575 - [c10]Giacomo Buonanno, Franco Fummi, Donatella Sciuto:
Functional Testing and Constrained Synthesis of Sequential Architectures. ISCAS 1993: 1523-1526 - [c9]Massimo Bombana, Giacomo Buonanno, Patrizia Cavalloro, Fabrizio Ferrandi, Donatella Sciuto, Giuseppe Zaza:
An Expert Solution to Functional Testability Analysis of VLSI Circuits. SEKE 1993: 263-265 - [c8]Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
New CMOS Structures for the Synthesis of Dominant Functions. VLSI Design 1993: 367-370 - 1992
- [j14]Fabrizio Lombardi, Donatella Sciuto:
Constant testability of combinational cellular tree structures. J. Electron. Test. 3(2): 139-148 (1992) - [j13]Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto, Renato Stefanelli:
A behavioral approach to testability analysis for neural networks. Microprocess. Microprogramming 35(1-5): 181-186 (1992) - [c7]Massimo Bombana, Giacomo Buonanno, Patrizia Cavalloro, Donatella Sciuto, Giuseppe Zaza:
A multi level testability assistant for VLSI design. EURO-DAC 1992: 258-263 - 1991
- [j12]Donatella Sciuto:
Testability conditions for two-dimensional bilateral arrays. Integr. 11(3): 251-278 (1991) - [j11]M. Hadjinicolaeu, N. Burgess, Donatella Sciuto, G. Buananno, Patrizia Cavalloro, Giuseppe Zaza:
The Patricia testability analysis tool. Microprocessing and Microprogramming 32(1-5): 675-682 (1991) - [j10]Giacomo Buonanno, Fabrizio Lombardi, Donatella Sciuto, Y.-N. Sken:
Multiple stuck-at faults detection in CMOS combinational gates. Microprocessing and Microprogramming 32(1-5): 775-782 (1991) - [j9]Anna Antola, Mariagiovanna Sami, Donatella Sciuto:
Testing and diagnosis ofFFT arrays. J. VLSI Signal Process. 3(3): 225-236 (1991) - [c6]Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli:
Optimization techniques for multiple output function synthesis. EURO-DAC 1991: 545-551 - [c5]Xiao Sun, Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto:
Protocol Conformance Testing by Discriminating UIO Sequences. PSTV 1991: 349-364 - 1990
- [j8]Luca Breveglieri, Luigi Dadda, Donatella Sciuto:
Testing of serial input convolvers. Microprocessing and Microprogramming 28(1-5): 283-290 (1990) - [j7]Giacomo Buonanno, A. Burri, Franco Fummi, Donatella Sciuto:
An approach to a design for testability personal consultant. Microprocessing and Microprogramming 30(1-5): 405-412 (1990) - [c4]Peter Koo, Fabrizio Lombardi, Donatella Sciuto:
A Routing Algorithm for Harvesting Multipipeline Arrays with Small Intercell and Pipeline Delays. ICCAD 1990: 2-5 - [c3]Yinan N. Shen, Fabrizio Lombardi, Donatella Sciuto:
Evaluation and improvement of fault coverage for verification and validation of protocols. SPDP 1990: 200-207
1980 – 1989
- 1989
- [j6]Fabrizio Lombardi, Donatella Sciuto:
Linear testability conditions for two-dimensional arrays. Microprocess. Microprogramming 25(1-5): 85-90 (1989) - [j5]Donatella Sciuto, Fabrizio Lombardi:
Functional testing and verification of array systems. Microprocess. Microsystems 13(6): 403-412 (1989) - 1988
- [j4]Fausto Distante, Donatella Sciuto:
Behavioral testing of multilevel system software. Microprocess. Microprogramming 24(1-5): 63-70 (1988) - [j3]Donatella Sciuto, Fabrizio Lombardi:
On Functional Testing of Array Processors. IEEE Trans. Computers 37(11): 1480-1484 (1988) - [j2]Fabrizio Lombardi, Donatella Sciuto, Renato Stefanelli:
An algorithm for functional reconfiguration of fixed-size arrays. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(10): 1114-1118 (1988) - [c2]Fausto Distante, Fabrizio Lombardi, Donatella Sciuto:
Array partitioning: a methodology for reconfigurability and reconfiguration problems. ICCD 1988: 564-567 - 1987
- [j1]Leonardo Jervis, Donatella Sciuto:
A reconfiguration algorithm for wafer-scale integration of systolic arrays. Microprocess. Microprogramming 21(1-5): 83-90 (1987) - [c1]Fabrizio Lombardi, Donatella Sciuto, Renato Stefanelli:
A Technique for Reconfiguring Two Dimensional VLSI Arrays. RTSS 1987: 44-53
Coauthor Index
aka: Gianluca C. Durelli
aka: Georgi Nedeltchev Gaydadjiev
aka: Alessandro Antonio Nacci
aka: M. G. Sami
aka: Marco Domenico Santambrogio
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-01-21 00:13 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint