default search action
Elad Alon
Person information
- affiliation: University of California, Berkeley, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [c78]Biqi Rebekah Zhao, Alexander Chou, Robert Peltekov, Elad Alon, Chunlei Liu, Rikky Muller, Michael Lustig:
The MRDust: Wireless Data Uplink & Localization via Magnetic Resonance Image Modulation. EMBC 2024: 1-4 - [c77]Zhongkai Wang, Minsoo Choi, Paul Kwon, Zhaokai Liu, Bozhi Yin, Kyoungtae Lee, Kwanseo Park, Ayan Biswas, Jaeduk Han, Sijun Du, Elad Alon:
A 24.6-29.6GHz Hybrid Sub-Sampling PLL with Tri-State Integral Path Achieving 44fs Jitter and -254.8dB FOM in 28nm CMOS. ISCAS 2024: 1-5 - [c76]Ishan Mishra, Jayaprakash Balachandran, Wen-Sin Liew, Elad Alon, Srinivas Venkataraman, Shalabh Gupta:
Power Integrity Analysis for Interoperability of BoW Chiplet Interfaces. VLSID 2024: 660-665 - 2023
- [j52]Kunmo Kim, Suhong Moon, Jaeduk Han, Elad Alon, Ali M. Niknejad:
Precursor ISI Cancellation Sliding-Block DFE for High-Speed Wireline Receivers. IEEE Trans. Circuits Syst. I Regul. Pap. 70(10): 4169-4182 (2023) - 2022
- [j51]Zhongkai Wang, Minsoo Choi, Kyoungtae Lee, Kwanseo Park, Zhaokai Liu, Ayan Biswas, Jaeduk Han, Sijun Du, Elad Alon:
An Output Bandwidth Optimized 200-Gb/s PAM-4 100-Gb/s NRZ Transmitter With 5-Tap FFE in 28-nm CMOS. IEEE J. Solid State Circuits 57(1): 21-31 (2022) - [j50]Colin Schmidt, John Charles Wright, Zhongkai Wang, Eric Chang, Albert J. Ou, Woorham Bae, Sean Huang, Vladimir M. Milovanovic, Anita Flynn, Brian C. Richards, Krste Asanovic, Elad Alon, Borivoje Nikolic:
An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm FinFET. IEEE J. Solid State Circuits 57(1): 140-152 (2022) - [j49]Kunmo Kim, Paul Kwon, Elad Alon:
Accurate Statistical BER Analysis of DFE Error Propagation in the Presence of Residual ISI. IEEE Trans. Circuits Syst. II Express Briefs 69(2): 619-623 (2022) - [c75]Zhongkai Wang, Minsoo Choi, John Charles Wright, Kyoungtae Lee, Zhaokai Liu, Bozhi Yin, Jaeduk Han, Sijun Du, Elad Alon:
A Ring-Oscillator Sub-Sampling PLL With Hybrid Loop Using Generator-Based Design Flow. ISCAS 2022: 2881-2885 - [c74]Zhongkai Wang, Minsoo Choi, Paul Kwon, Kyoungtae Lee, Bozhi Yin, Zhaokai Liu, Kwanseo Park, Ayan Biswas, Jaeduk Han, Sijun Du, Elad Alon:
A 200Gb/s PAM-4 Transmitter with Hybrid Sub-Sampling PLL in 28nm CMOS Technology. VLSI Technology and Circuits 2022: 34-35 - 2021
- [j48]Emily Naviasky, Lorenzo Iotti, Greg LaCaille, Borivoje Nikolic, Elad Alon, Ali M. Niknejad:
A 71-to-86-GHz 16-Element by 16-Beam Multi-User Beamforming Integrated Receiver Sub-Array for Massive MIMO. IEEE J. Solid State Circuits 56(12): 3811-3826 (2021) - [j47]Jaeduk Han, Woo-Rham Bae, Eric Chang, Zhongkai Wang, Borivoje Nikolic, Elad Alon:
LAYGO: A Template-and-Grid-Based Layout Generation Engine for Advanced CMOS Technologies. IEEE Trans. Circuits Syst. I Regul. Pap. 68(3): 1012-1022 (2021) - [c73]Zhongkai Wang, Minsoo Choi, Eric Chang, John Charles Wright, Wooham Bae, Sijun Du, Zhaokai Liu, Nathan Narevsky, Colin Schmidt, Ayan Biswas, Borivoje Nikolic, Elad Alon:
An Automated and Process-Portable Generator for Phase-Locked Loop. DAC 2021: 511-516 - [c72]Abraham Gonzalez, Jerry Zhao, Ben Korpan, Hasan Genc, Colin Schmidt, John Charles Wright, Ayan Biswas, Alon Amid, Farhana Sheikh, Anton Sorokin, Sirisha Kale, Mani Yalamanchi, Ramya Yarlagadda, Mark Flannigan, Larry Abramowitz, Elad Alon, Yakun Sophia Shao, Krste Asanovic, Borivoje Nikolic:
A 16mm2 106.1 GOPS/W Heterogeneous RISC-V Multi-Core Multi-Accelerator SoC in Low-Power 22nm FinFET. ESSCIRC 2021: 259-262 - [c71]Colin Schmidt, John Charles Wright, Zhongkai Wang, Eric Chang, Albert J. Ou, Woo-Rham Bae, Sean Huang, Anita Flynn, Brian C. Richards, Krste Asanovic, Elad Alon, Borivoje Nikolic:
4.3 An Eight-Core 1.44GHz RISC-V Vector Machine in 16nm FinFET. ISSCC 2021: 58-60 - [c70]Minsoo Choi, Zhongkai Wang, Kyoungtae Lee, Kwanseo Park, Zhaokai Liu, Ayan Biswas, Jaeduk Han, Elad Alon:
8 An Output-Bandwidth-Optimized 200Gb/s PAM-4 100Gb/s NRZ Transmitter with 5-Tap FFE in 28nm CMOS. ISSCC 2021: 128-130 - [c69]Emily Naviasky, Lorenzo Iotti, Greg LaCaille, Borivoje Nikolic, Elad Alon, Ali M. Niknejad:
14.1 A 71-to-86GHz Packaged 16-Element by 16-Beam Multi-User Beamforming Integrated Receiver in 28nm CMOS. ISSCC 2021: 218-220 - 2020
- [c68]Andrew Townley, Nima Baniasadi, Sashank Krishnamurthy, Constantine Sideris, Ali Hajimiri, Elad Alon, Ali M. Niknejad:
A Fully Integrated, Dual Channel, Flip Chip Packaged 113 GHz Transceiver in 28nm CMOS supporting an 80 Gb/s Wireless Link. CICC 2020: 1-4 - [c67]Greg LaCaille, James Dunn, Antonio Puglielli, Lorenzo Iotti, Sameet Ramakrishnan, Lucas Calderin, Zhenghan Lin, Emily Naviasky, Borivoje Nikolic, Ali M. Niknejad, Elad Alon:
Design and Demonstration of a Scalable Massive MIMO Uplink at E-Band. ICC Workshops 2020: 1-6 - [c66]Edward Wang, Colin Schmidt, Adam M. Izraelevitz, John Charles Wright, Borivoje Nikolic, Elad Alon, Jonathan Bachrach:
A Methodology for Reusable Physical Design. ISQED 2020: 243-249
2010 – 2019
- 2019
- [j46]Angie Wang, Woo-Rham Bae, Jaeduk Han, Stevo Bailey, Orhan Ocal, Paul Rigge, Zhongkai Wang, Kannan Ramchandran, Elad Alon, Borivoje Nikolic:
A Real-Time, 1.89-GHz Bandwidth, 175-kHz Resolution Sparse Spectral Analysis RISC-V SoC in 16-nm FinFET. IEEE J. Solid State Circuits 54(7): 1993-2008 (2019) - [j45]Steven Bailey, Paul Rigge, Jaeduk Han, Richard Lin, Eric Chang, Howard Mao, Zhongkai Wang, Chick Markley, Adam M. Izraelevitz, Angie Wang, Nathan Narevsky, Woo-Rham Bae, Steve Shauck, Sergio Montano, Justin Norsworthy, Munir Razzaque, Wen Hau Ma, Akalu Lentiro, Matthew Doerflein, Darin Heckendorn, Jim McGrath, Franco DeSeta, Ronen Shoham, Mike Stellfox, Mark Snowden, Joseph Cole, Dan Fuhrman, Brian C. Richards, Jonathan Bachrach, Elad Alon, Borivoje Nikolic:
A Mixed-Signal RISC-V Signal Analysis SoC Generator With a 16-nm FinFET Instance. IEEE J. Solid State Circuits 54(10): 2786-2801 (2019) - [c65]Richard Lin, Rohit Ramesh, Antonio Iannopollo, Alberto L. Sangiovanni-Vincentelli, Prabal Dutta, Elad Alon, Björn Hartmann:
Beyond Schematic Capture: Meaningful Abstractions for Better Electronics Design Tools. CHI 2019: 283 - [c64]Elad Alon:
Mixed-Signal Electrical Interfaces. CICC 2019: 1-57 - [c63]Eric Chang, Nathan Narevsky, Krishna Settaluri, Elad Alon:
BAG: A Process-Portable Framework for Generator-based AMS Circuit Design. CICC 2019: 1-20 - [c62]Jaeduk Han, Eric Chang, Stevo Bailey, Zhongkai Wang, Woo-Rham Bae, Angie Wang, Nathan Narevsky, Amy Whitcombe, Pengpeng Lu, Borivoje Nikolic, Elad Alon:
A Generated 7GS/s 8b Time-Interleaved SAR ADC with 38.2dB SNDR at Nyquist in 16nm CMOS FinFET. CICC 2019: 1-4 - [c61]Elad Alon, Krste Asanovic, Jonathan Bachrach, Borivoje Nikolic:
Open-Source EDA Tools and IP, A View from the Trenches. DAC 2019: 79 - [c60]Nicholas Sutardja, Jaeduk Han, Nathan Narevsky, Elad Alon:
A 2-tap switched capacitor FFE transmitter achieving 1-20 Gb/s at 0.72-0.62 pJ/bit. ESSCIRC 2019: 273-276 - [c59]Jaeduk Han, Eric Chang, Elad Alon:
Design and Automatic Generation of High-Speed Circuits for Wireline Communications. ISOCC 2019: 40-41 - 2018
- [j44]Bonjern Yang, Eric Chang, Ali M. Niknejad, Borivoje Nikolic, Elad Alon:
A 65-nm CMOS I/Q RF Power DAC With 24- to 42-dB Third-Harmonic Cancellation and Up to 18-dB Mixed-Signal Filtering. IEEE J. Solid State Circuits 53(4): 1127-1138 (2018) - [c58]Stevo Bailey, Jaeduk Han, Paul Rigge, Richard Lin, Eric Chang, Howard Mao, Zhongkai Wang, Chick Markley, Adam M. Izraelevitz, Angie Wang, Nathan Narevsky, Woo-Rham Bae, Steve Shauck, Sergio Montano, Justin Norsworthy, Munir Razzaque, Wen Hau Ma, Akalu Lentiro, Matthew Doerflein, Darin Heckendorn, Jim McGrath, Franco DeSeta, Ronen Shoham, Mike Stellfox, Mark Snowden, Joseph Cole, Dan Fuhrman, Brian C. Richards, Jonathan Bachrach, Elad Alon, Borivoje Nikolic:
A Generated Multirate Signal Analysis RISC-V SoC in 16nm FinFET. A-SSCC 2018: 285-288 - [c57]Eric Chang, Jaeduk Han, Woo-Rham Bae, Zhongkai Wang, Nathan Narevsky, Borivoje Nikolic, Elad Alon:
BAG2: A process-portable framework for generator-based AMS circuit design. CICC 2018: 1-8 - [c56]Borivoje Nikolic, Elad Alon, Krste Asanovic:
Generating the Next Wave of Custom Silicon. ESSCIRC 2018: 6-11 - [c55]Angie Wang, Woo-Rham Bae, Jaeduk Han, Stevo Bailey, Paul Rigge, Orhan Ocal, Zhongkai Wang, Kannan Ramchandran, Elad Alon, Borivoje Nikolic:
A Real-Time, Analog/Digital Co-Designed 1.89-GHz Bandwidth, 175-kHz Resolution Sparse Spectral Analysis RISC-V SoC in 16-nm FinFET. ESSCIRC 2018: 322-325 - [c54]Eric Chang, Nathan Narevsky, Jaeduk Han, Elad Alon:
An Automated SerDes Frontend Generator Verified with a 16NM Instance Achieving 15 GB/S at 1.96 PJ/Bit. VLSI Circuits 2018: 153-154 - 2017
- [j43]Xiao Xiao, Amanda Pratt, Bonjern Yang, Angie Wang, Ali M. Niknejad, Elad Alon, Borivoje Nikolic:
A 65-nm CMOS Wideband TDD Front-End With Integrated T/R Switching via PA Re-Use. IEEE J. Solid State Circuits 52(7): 1768-1782 (2017) - [j42]Ben Keller, Martin Cochet, Brian Zimmer, Jaehwa Kwak, Alberto Puggelli, Yunsup Lee, Milovan Blagojevic, Stevo Bailey, Pi-Feng Chiu, Daniel Palmer Dabbelt, Colin Schmidt, Elad Alon, Krste Asanovic, Borivoje Nikolic:
A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI. IEEE J. Solid State Circuits 52(7): 1863-1875 (2017) - [j41]Lucas Calderin, Sameet Ramakrishnan, Antonio Puglielli, Elad Alon, Borivoje Nikolic, Ali M. Niknejad:
Analysis and Design of Integrated Active Cancellation Transceiver for Frequency Division Duplex Systems. IEEE J. Solid State Circuits 52(8): 2038-2054 (2017) - [j40]Jaeduk Han, Nicholas Sutardja, Yue Lu, Elad Alon:
Design Techniques for a 60-Gb/s 288-mW NRZ Transceiver With Adaptive Equalization and Baud-Rate Clock and Data Recovery in 65-nm CMOS Technology. IEEE J. Solid State Circuits 52(12): 3474-3485 (2017) - [j39]Michel M. Maharbiz, Rikky Muller, Elad Alon, Jan M. Rabaey, Jose M. Carmena:
Reliable Next-Generation Cortical Interfaces for Chronic Brain-Machine Interfaces and Neuroscience. Proc. IEEE 105(1): 73-82 (2017) - [c53]Angie Wang, Brian C. Richards, Daniel Palmer Dabbelt, Howard Mao, Stevo Bailey, Jaeduk Han, Eric Chang, James Dunn, Elad Alon, Borivoje Nikolic:
A 0.37mm2 LTE/Wi-Fi compatible, memory-based, runtime-reconfigurable 2n3m5k FFT accelerator integrated with a RISC-V core in 16nm FinFET. A-SSCC 2017: 305-308 - [c52]Alexander Bertrand, Dongjin Seo, Jose M. Carmena, Michel M. Maharbiz, Elad Alon, Jan M. Rabaey:
Blind parallel interrogation of ultrasonic neural dust motes based on canonical polyadic decomposition: A simulation study. EUSIPCO 2017: 2066-2070 - [c51]Jaeduk Han, Yue Lu, Nicholas Sutardja, Elad Alon:
6.2 A 60Gb/s 288mW NRZ transceiver with adaptive equalization and baud-rate clock and data recovery in 65nm CMOS technology. ISSCC 2017: 112-113 - 2016
- [j38]Jaeduk Han, Yue Lu, Nicholas Sutardja, Kwangmo Jung, Elad Alon:
Design Techniques for a 60 Gb/s 173 mW Wireline Receiver Frontend in 65 nm CMOS Technology. IEEE J. Solid State Circuits 51(4): 871-880 (2016) - [j37]Brian Zimmer, Yunsup Lee, Alberto Puggelli, Jaehwa Kwak, Ruzica Jevtic, Ben Keller, Steven Bailey, Milovan Blagojevic, Pi-Feng Chiu, Hanh-Phuc Le, Po-Hung Chen, Nicholas Sutardja, Rimas Avizienis, Andrew Waterman, Brian C. Richards, Philippe Flatresse, Elad Alon, Krste Asanovic, Borivoje Nikolic:
A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC-DC Converters in 28 nm FDSOI. IEEE J. Solid State Circuits 51(4): 930-942 (2016) - [j36]Edgar Sánchez-Sinencio, Jan Mulder, Antonio Liscidini, Eric A. M. Klumperink, Elad Alon:
Introduction to the December Special Issue on the 2016 IEEE International Solid-State Circuits Conference. IEEE J. Solid State Circuits 51(12): 2803-2807 (2016) - [j35]Yunsup Lee, Andrew Waterman, Henry Cook, Brian Zimmer, Ben Keller, Alberto Puggelli, Jaehwa Kwak, Ruzica Jevtic, Stevo Bailey, Milovan Blagojevic, Pi-Feng Chiu, Rimas Avizienis, Brian C. Richards, Jonathan Bachrach, David A. Patterson, Elad Alon, Bora Nikolic, Krste Asanovic:
An Agile Approach to Building RISC-V Microprocessors. IEEE Micro 36(2): 8-20 (2016) - [j34]Antonio Puglielli, Andrew Townley, Greg LaCaille, Vladimir M. Milovanovic, Pengpeng Lu, Konstantin Trotskovsky, Amy Whitcombe, Nathan Narevsky, Gregory Wright, Thomas A. Courtade, Elad Alon, Borivoje Nikolic, Ali M. Niknejad:
Design of Energy- and Cost-Efficient Massive MIMO Arrays. Proc. IEEE 104(3): 586-606 (2016) - [c50]Ali Moin, George Alexandrov, Benjamin C. Johnson, Igor I. Izyumin, Fred L. Burghardt, Kedar Shah, Sat Pannu, Elad Alon, Rikky Muller, Jan M. Rabaey:
Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device. EMBC 2016: 4471-4474 - [c49]Xiao Xiao, Amanda Pratt, Ali M. Niknejad, Elad Alon, Borivoje Nikolic:
A 65nm CMOS wideband TDD front-end with integrated T/R switching via PA re-use. ESSCIRC 2016: 181-184 - [c48]Ben Keller, Martin Cochet, Brian Zimmer, Yunsup Lee, Milovan Blagojevic, Jaehwa Kwak, Alberto Puggelli, Stevo Bailey, Pi-Feng Chiu, Daniel Palmer Dabbelt, Colin Schmidt, Elad Alon, Krste Asanovic, Borivoje Nikolic:
Sub-microsecond adaptive voltage scaling in a 28nm FD-SOI processor SoC. ESSCIRC 2016: 269-272 - [c47]Antonio Puglielli, Greg LaCaille, Ali M. Niknejad, Gregory Wright, Borivoje Nikolic, Elad Alon:
Phase noise scaling and tracking in OFDM multi-user beamforming arrays. ICC 2016: 1-6 - [c46]Ichiro Fujimori, Martin Brox, Elad Alon, Pavan Kumar Hanumolu, Gerrit den Besten, Hideyuki Nosaka:
F4: Emerging short-reach and high-density interconnect solutions for internet of everything. ISSCC 2016: 502-505 - [c45]Sameet Ramakrishnan, Lucas Calderin, Antonio Puglielli, Elad Alon, Ali M. Niknejad, Borivoje Nikolic:
A 65nm CMOS transceiver with integrated active cancellation supporting FDD from 1GHz to 1.8GHz at +12.6dBm TX power leakage. VLSI Circuits 2016: 1-2 - 2015
- [j33]Gaël Pillonnet, Arnaud Andrieu, Elad Alon:
Dual-Input Switched Capacitor Converter Suitable for Wide Voltage Gain Range. IEEE J. Emerg. Sel. Topics Circuits Syst. 5(3): 413-420 (2015) - [j32]Rikky Muller, Hanh-Phuc Le, Wen Li, Peter Ledochowitsch, Simone Gambini, Toni Björninen, Aaron C. Koralek, Jose M. Carmena, Michel M. Maharbiz, Elad Alon, Jan M. Rabaey:
A Minimally Invasive 64-Channel Wireless μECoG Implant. IEEE J. Solid State Circuits 50(1): 344-359 (2015) - [j31]William Biederman, Daniel J. Yeager, Nathan Narevsky, Jaclyn Leverett, Ryan Neely, Jose M. Carmena, Elad Alon, Jan M. Rabaey:
A 4.78 mm 2 Fully-Integrated Neuromodulation SoC Combining 64 Acquisition Channels With Digital Compression and Simultaneous Dual Stimulation. IEEE J. Solid State Circuits 50(4): 1038-1047 (2015) - [j30]Andrea Mazzanti, Elad Alon:
Introduction to the Special Issue on the IEEE 2014 Custom Integrated Circuits Conference. IEEE J. Solid State Circuits 50(8): 1739-1740 (2015) - [j29]Hao-Yen Tang, Dongjin Seo, Utkarsh Singhal, Xi Li, Michel M. Maharbiz, Elad Alon, Bernhard E. Boser:
Miniaturizing Ultrasonic System for Portable Health Care and Fitness. IEEE Trans. Biomed. Circuits Syst. 9(6): 767-776 (2015) - [j28]Ruzica Jevtic, Hanh-Phuc Le, Milovan Blagojevic, Stevo Bailey, Krste Asanovic, Elad Alon, Borivoje Nikolic:
Per-Core DVFS With Switched-Capacitor Converters for Energy Efficiency in Manycore Processors. IEEE Trans. Very Large Scale Integr. Syst. 23(4): 723-730 (2015) - [c44]Ali M. Niknejad, Siva V. Thyagarajan, Elad Alon, Yanjie Wang, Christopher D. Hull:
A circuit designer's guide to 5G mm-wave. CICC 2015: 1-8 - [c43]Dongjin Seo, Hao-Yen Tang, Jose M. Carmena, Jan M. Rabaey, Elad Alon, Bernhard E. Boser, Michel M. Maharbiz:
Ultrasonic beamforming system for interrogating multiple implantable sensors. EMBC 2015: 2673-2676 - [c42]Yunsup Lee, Brian Zimmer, Andrew Waterman, Alberto Puggelli, Jaehwa Kwak, Ruzica Jevtic, Ben Keller, Stevo Bailey, Milovan Blagojevic, Pi-Feng Chiu, Henry Cook, Rimas Avizienis, Brian C. Richards, Elad Alon, Borivoje Nikolic, Krste Asanovic:
Raven: A 28nm RISC-V vector processor with integrated switched-capacitor DC-DC converters and adaptive clocking. Hot Chips Symposium 2015: 1-45 - [c41]Antonio Puglielli, Nathan Narevsky, Pengpeng Lu, Thomas A. Courtade, Gregory Wright, Borivoje Nikolic, Elad Alon:
A scalable massive MIMO array architecture based on common modules. ICC Workshops 2015: 1310-1315 - [c40]Ken Chang, Frank O'Mahony, Elad Alon, Hyeon-Min Bae, Nicola Da Dalt, Eric Fluhr:
F6: I/O design at 25Gb/s and beyond: Enabling the future communication infrastructure for big data. ISSCC 2015: 1-2 - [c39]Yida Duan, Elad Alon:
A 6b 46GS/s ADC with >23GHz BW and sparkle-code error correction. VLSIC 2015: 162- - [c38]Jaeduk Han, Yue Lu, Nicholas Sutardja, Kwangmo Jung, Elad Alon:
A 60Gb/s 173mW receiver frontend in 65nm CMOS technology. VLSIC 2015: 230- - [c37]Brian Zimmer, Yunsup Lee, Alberto Puggelli, Jaehwa Kwak, Ruzica Jevtic, Ben Keller, Stevo Bailey, Milovan Blagojevic, Pi-Feng Chiu, Hanh-Phuc Le, Po-Hung Chen, Nicholas Sutardja, Rimas Avizienis, Andrew Waterman, Brian C. Richards, Philippe Flatresse, Elad Alon, Krste Asanovic, Borivoje Nikolic:
A RISC-V vector processor with tightly-integrated switched-capacitor DC-DC converters in 28nm FDSOI. VLSIC 2015: 316- - 2014
- [j27]Charles Wu, Elad Alon, Borivoje Nikolic:
A Wideband 400 MHz-to-4 GHz Direct RF-to-Digital Multimode ΔΣ Receiver. IEEE J. Solid State Circuits 49(7): 1639-1652 (2014) - [j26]Yida Duan, Elad Alon:
A 12.8 GS/s Time-Interleaved ADC With 25 GHz Effective Resolution Bandwidth and 4.6 ENOB. IEEE J. Solid State Circuits 49(8): 1725-1738 (2014) - [j25]Chintan Thakkar, Nathan Narevsky, Christopher D. Hull, Elad Alon:
Design Techniques for a Mixed-Signal I/Q 32-Coefficient Rx-Feedforward Equalizer, 100-Coefficient Decision Feedback Equalizer in an 8 Gb/s 60 GHz 65 nm LP CMOS Receiver. IEEE J. Solid State Circuits 49(11): 2588-2607 (2014) - [c36]Nai-Chung Kuo, Bonjern Yang, Chaoying Wu, Lingkai Kong, Angie Wang, Michael Reiha, Elad Alon, Ali M. Niknejad, Borivoje Nikolic:
A frequency-reconfigurable multi-standard 65nm CMOS digital transmitter with LTCC interposers. A-SSCC 2014: 345-348 - [c35]Alexander Bertrand, Dongjin Seo, Filip Maksimovic, Jose M. Carmena, Michel M. Maharbiz, Elad Alon, Jan M. Rabaey:
Beamforming approaches for untethered, ultrasonic neural dust motes for cortical recording: A simulation study. EMBC 2014: 2625-2628 - [c34]Rikky Muller, Hanh-Phuc Le, Wen Li, Peter Ledochowitsch, Simone Gambini, Toni Björninen, Aaron C. Koralek, Jose M. Carmena, Michel M. Maharbiz, Elad Alon, Jan M. Rabaey:
24.1 A miniaturized 64-channel 225μW wireless electrocorticographic neural sensor. ISSCC 2014: 412-413 - [c33]Frank O'Mahony, Nicola Da Dalt, Ken Chang, Hisakatsu Yamaguchi, Chulwoo Kim, Elad Alon:
F6: Energy-efficient I/O design for next-generation systems. ISSCC 2014: 520-521 - [c32]Daniel J. Yeager, William Biederman, Nathan Narevsky, Jaclyn Leverett, Ryan Neely, Jose M. Carmena, Elad Alon, Jan M. Rabaey:
A 4.78mm2 fully-integrated neuromodulation SoC combining 64 acquisition channels with digital compression and simultaneous dual stimulation. VLSIC 2014: 1-2 - 2013
- [j24]Adam H. Marblestone, Bradley M. Zamft, Yael Maguire, Mikhail G. Shapiro, Thaddeus Cybulski, Joshua I. Glaser, Dario Amodei, P. Benjamin Stranges, Reza Kalhor, David Dalrymple, Dongjin Seo, Elad Alon, Michel M. Maharbiz, Jose M. Carmena, Jan M. Rabaey, Edward S. Boyden III, George M. Church, Konrad P. Körding:
Physical principles for scalable neural recording. Frontiers Comput. Neurosci. 7: 137 (2013) - [j23]William Biederman, Daniel J. Yeager, Nathan Narevsky, Aaron C. Koralek, Jose M. Carmena, Elad Alon, Jan M. Rabaey:
A Fully-Integrated, Miniaturized (0.125 mm2) 10.5 µW Wireless Neural Sensor. IEEE J. Solid State Circuits 48(4): 960-970 (2013) - [j22]Yue Lu, Kwangmo Jung, Yasuo Hidaka, Elad Alon:
Design and Analysis of Energy-Efficient Reconfigurable Pre-Emphasis Voltage-Mode Transmitters. IEEE J. Solid State Circuits 48(8): 1898-1909 (2013) - [j21]Lu Ye, Jiashu Chen, Lingkai Kong, Elad Alon, Ali M. Niknejad:
Design Considerations for a Direct Digitally Modulated WLAN Transmitter With Integrated Phase Path and Dynamic Impedance Modulation. IEEE J. Solid State Circuits 48(12): 3160-3177 (2013) - [j20]Yue Lu, Elad Alon:
Design Techniques for a 66 Gb/s 46 mW 3-Tap Decision Feedback Equalizer in 65 nm CMOS. IEEE J. Solid State Circuits 48(12): 3243-3257 (2013) - [c31]Yida Duan, Elad Alon:
A 12.8GS/s time-interleaved SAR ADC with 25GHz 3dB ERBW and 4.6b ENOB. CICC 2013: 1-4 - [c30]John Crossley, Alberto Puggelli, Hanh-Phuc Le, B. Yang, R. Nancollas, Kwangmo Jung, Lingkai Kong, Nathan Narevsky, Yue Lu, Nicholas Sutardja, E. J. An, Alberto L. Sangiovanni-Vincentelli, Elad Alon:
BAG: a designer-oriented integrated framework for the development of AMS circuit generators. ICCAD 2013: 74-81 - [c29]Yue Lu, Elad Alon:
A 66Gb/s 46mW 3-tap decision-feedback equalizer in 65nm CMOS. ISSCC 2013: 30-31 - [c28]Lingkai Kong, Dongjin Seo, Elad Alon:
A 50mW-TX 65mW-RX 60GHz 4-element phased-array transceiver with integrated antennas in 65nm CMOS. ISSCC 2013: 234-235 - [c27]Chintan Thakkar, Nathan Narevsky, Christopher D. Hull, Elad Alon:
A mixed-signal 32-coefficient RX-FFE 100-coefficient DFE for an 8Gb/s 60GHz receiver in 65nm LP CMOS. ISSCC 2013: 238-239 - [c26]Lu Ye, Jiashu Chen, Lingkai Kong, Philippe Cathelin, Elad Alon, Ali M. Niknejad:
A digitally modulated 2.4GHz WLAN transmitter with integrated phase path and dynamic load modulation in 65nm CMOS. ISSCC 2013: 330-331 - [c25]Hanh-Phuc Le, John Crossley, Seth Sanders, Elad Alon:
A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering 0.19W/mm2 at 73% efficiency. ISSCC 2013: 372-373 - [c24]Elad Alon, Azita Emami, Gerrit den Besten, Ichiro Fujimori, Tadahiro Kuroda, Masafumi Nogawa, Hisakatsu Yamaguchi:
F3: Emerging technologies for wireline communication. ISSCC 2013: 504-505 - 2012
- [j19]Simone Gambini, John Crossley, Elad Alon, Jan M. Rabaey:
A Fully Integrated, 290 pJ/bit UWB Dual-Mode Transceiver for cm-Range Wireless Interconnects. IEEE J. Solid State Circuits 47(3): 586-598 (2012) - [j18]Chintan Thakkar, Lingkai Kong, Kwangmo Jung, Antoine Frappé, Elad Alon:
A 10 Gb/s 45 mW Adaptive 60 GHz Baseband in 65 nm CMOS. IEEE J. Solid State Circuits 47(4): 952-968 (2012) - [j17]Debopriyo Chowdhury, Siva V. Thyagarajan, Lu Ye, Elad Alon, Ali M. Niknejad:
A Fully-Integrated Efficient CMOS Inverse Class-D Power Amplifier for Digital Polar Transmitters. IEEE J. Solid State Circuits 47(5): 1113-1122 (2012) - [j16]Frankie Liu, Dinesh Patil, Jon K. Lexau, Philip Amberg, Michael Dayringer, Jonathan Gainsley, Hesam Fathi Moghadam, Xuezhe Zheng, John E. Cunningham, Ashok V. Krishnamoorthy, Elad Alon, Ron Ho:
10-Gbps, 5.3-mW Optical Transmitter and Receiver Circuits in 40-nm CMOS. IEEE J. Solid State Circuits 47(9): 2049-2067 (2012) - [c23]William Biederman, Daniel J. Yeager, Elad Alon, Jan M. Rabaey:
A CMOS switched-capacitor fractional bandgap reference. CICC 2012: 1-4 - [c22]Yue Lu, Kwangmo Jung, Yasuo Hidaka, Elad Alon:
A 10Gb/s 10mW 2-tap reconfigurable pre-emphasis transmitter in 65nm LP CMOS. CICC 2012: 1-4 - [c21]Jafar Savoj, Kenny C.-H. Hsieh, Parag Upadhyaya, Fu-Tai An, Jay Im, Xuewen Jiang, Jalil Kamali, Kang Wei Lai, Zhaoyin Daniel Wu, Elad Alon, Ken Chang:
Design of high-speed wireline transceivers for backplane communications in 28nm CMOS. CICC 2012: 1-4 - [c20]Jung-Dong Park, Shinwon Kang, Siva V. Thyagarajan, Elad Alon, Ali M. Niknejad:
A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication. VLSIC 2012: 48-49 - [c19]Lingkai Kong, Elad Alon:
A 21.5mW 10+Gb/s mm-Wave phased-array transmitter in 65nm CMOS. VLSIC 2012: 52-53 - [c18]Daniel J. Yeager, William Biederman, Nathan Narevsky, Elad Alon, Jan M. Rabaey:
A fully-integrated 10.5µW miniaturized (0.125mm2) wireless neural sensor. VLSIC 2012: 72-73 - [c17]Jafar Savoj, Kenny C.-H. Hsieh, Parag Upadhyaya, Fu-Tai An, Ade Bekele, Stanley Chen, Xuewen Jiang, Kang Wei Lai, Chi Fung Poon, Aman Sewani, Didem Turker, Karthik Venna, Zhaoyin Daniel Wu, Bruce Xu, Elad Alon, Ken Chang:
A wide common-mode fully-adaptive multi-standard 12.5Gb/s backplane transceiver in 28nm CMOS. VLSIC 2012: 104-105 - 2011
- [j15]Matthew Spencer, Fred Chen, Cheng C. Wang, Rhesa Nathanael, Hossein Fariborzi, Abhinav Gupta, Hei Kam, Vincent Pott, Jaeseok Jeon, Tsu-Jae King Liu, Dejan Markovic, Elad Alon, Vladimir Stojanovic:
Demonstration of Integrated Micro-Electro-Mechanical Relay Circuits for VLSI Applications. IEEE J. Solid State Circuits 46(1): 308-320 (2011) - [j14]Debopriyo Chowdhury, Lu Ye, Elad Alon, Ali M. Niknejad:
An Efficient Mixed-Signal 2.4-GHz Polar Power Amplifier in 65-nm CMOS Technology. IEEE J. Solid State Circuits 46(8): 1796-1809 (2011) - [j13]Hanh-Phuc Le, Seth Sanders, Elad Alon:
Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters. IEEE J. Solid State Circuits 46(9): 2120-2131 (2011) - [j12]Maryam Tabesh, Jiashu Chen, Cristian Marcu, Lingkai Kong, Shinwon Kang, Ali M. Niknejad, Elad Alon:
A 65 nm CMOS 4-Element Sub-34 mW/Element 60 GHz Phased-Array Transceiver. IEEE J. Solid State Circuits 46(12): 3018-3032 (2011) - [c16]Philip Amberg, Frankie Liu, Michael Dayringer, Jon K. Lexau, Dinesh Patil, Jonathan Gainsley, Hesam Fathi Moghadam, Elad Alon, Xuezhe Zheng, John E. Cunningham, Ashok V. Krishnamoorthy, Ron Ho:
Digitally-assisted analog circuits for a 10 Gbps, 395 fJ/b optical receiver in 40 nm CMOS. A-SSCC 2011: 29-32 - [c15]Lingkai Kong, Yue Lu, Elad Alon:
A multi-GHz area-efficient comparator with dynamic offset cancellation. CICC 2011: 1-4 - [c14]Elad Alon, Hanh-Phuc Le, Seth Sanders:
Fully integrated switched-capacitor DC-DC conversion. Hot Chips Symposium 2011: 1-30 - [c13]Maryam Tabesh, Jiashu Chen, Cristian Marcu, Lingkai Kong, Shinwon Kang, Elad Alon, Ali M. Niknejad:
A 65nm CMOS 4-element Sub-34mW/element 60GHz phased-array transceiver. ISSCC 2011: 166-168 - 2010
- [j11]Ron Ho, Frankie Liu, Dinesh Patil, Xuezhe Zheng, Guoliang Li, Ivan Shubin, Elad Alon, Jon K. Lexau, Herb Schwetman, John E. Cunningham, Ashok V. Krishnamoorthy:
Optical Interconnect for High-End Computer Systems. IEEE Des. Test Comput. 27(4): 10-19 (2010) - [j10]Vincent Pott, Hei Kam, Rhesa Nathanael, Jaeseok Jeon, Elad Alon, Tsu-Jae King Liu:
Mechanical Computing Redux: Relays for Integrated Circuit Applications. Proc. IEEE 98(12): 2076-2094 (2010) - [c12]Debopriyo Chowdhury, Lu Ye, Elad Alon, Ali M. Niknejad:
A 2.4GHz mixed-signal polar power amplifier with low-power integrated filtering in 65nm CMOS. CICC 2010: 1-4 - [c11]John Crossley, Eric Naviasky, Elad Alon:
An energy-efficient ring-oscillator digital PLL. CICC 2010: 1-4 - [c10]Hossein Fariborzi, Matthew Spencer, Vaibhav Karkare, Jaeseok Jeon, Rhesa Nathanael, Chengcheng Wang, Fred Chen, Hei Kam, Vincent Pott, Tsu-Jae King Liu, Elad Alon, Vladimir Stojanovic, Dejan Markovic:
Analysis and demonstration of MEM-relay power gating. CICC 2010: 1-4 - [c9]Tamer A. Ali, Dinesh Patil, Frankie Liu, Elad Alon, Jon K. Lexau, Chih-Kong Ken Yang, Ron Ho:
Clocking Links in Multi-chip Packages: A Case Study. Hot Interconnects 2010: 96-103 - [c8]Fred Chen, Matthew Spencer, Rhesa Nathanael, Chengcheng Wang, Hossein Fariborzi, Abhinav Gupta, Hei Kam, Vincent Pott, Jaeseok Jeon, Tsu-Jae King Liu, Dejan Markovic, Vladimir Stojanovic, Elad Alon:
Demonstration of integrated micro-electro-mechanical switch circuits for VLSI applications. ISSCC 2010: 150-151 - [c7]Hanh-Phuc Le, Michael D. Seeman, Seth Sanders, Visvesh S. Sathe, Samuel Naffziger, Elad Alon:
A 32nm fully integrated reconfigurable switched-capacitor DC-DC converter delivering 0.55W/mm2 at 81% efficiency. ISSCC 2010: 210-211
2000 – 2009
- 2009
- [j9]Bita Nezamfar, Elad Alon, Mark Horowitz:
Energy-Performance Tunable Logic. IEEE J. Solid State Circuits 44(9): 2554-2567 (2009) - [j8]Cristian Marcu, Debopriyo Chowdhury, Chintan Thakkar, Jung-Dong Park, Lingkai Kong, Maryam Tabesh, Yanjie Wang, Bagher Afshar, Abhinav Gupta, Amin Arbabian, Simone Gambini, Reza Zamani, Elad Alon, Ali M. Niknejad:
A 90 nm CMOS Low-Power 60 GHz Transceiver With Integrated Baseband Circuitry. IEEE J. Solid State Circuits 44(12): 3434-3447 (2009) - [c6]Cristian Marcu, Debopriyo Chowdhury, Chintan Thakkar, Lingkai Kong, Maryam Tabesh, Jung-Dong Park, Yanjie Wang, Bagher Afshar, Abhinav Gupta, Amin Arbabian, Simone Gambini, Reza Zamani, Ali M. Niknejad, Elad Alon:
A 90nm CMOS low-power 60GHz transceiver with integrated baseband circuitry. ISSCC 2009: 314-315 - 2008
- [j7]Mark Horowitz, Donald Stark, Elad Alon:
Digital Circuit Design Trends. IEEE J. Solid State Circuits 43(4): 757-761 (2008) - [j6]Elad Alon, Mark Horowitz:
Integrated Regulation for Energy-Efficient Digital Circuits. IEEE J. Solid State Circuits 43(8): 1795-1807 (2008) - [c5]Fred Chen, Hei Kam, Dejan Markovic, Tsu-Jae King Liu, Vladimir Stojanovic, Elad Alon:
Integrated circuit design with NEM relays. ICCAD 2008: 750-757 - 2007
- [c4]Elad Alon, Mark Horowitz:
Integrated Regulation for Energy-Efficient Digital Circuits. CICC 2007: 389-392 - 2006
- [j5]Samuel Naffziger, Blaine A. Stackhouse, Tom Grutkowski, Doug Josephson, Jayen Desai, Elad Alon, Mark Horowitz:
The implementation of a 2-core, multi-threaded itanium family processor. IEEE J. Solid State Circuits 41(1): 197-209 (2006) - [j4]Elad Alon, Jaeha Kim, Sudhakar Pamarti, Ken Chang, Mark Horowitz:
Replica compensated linear regulators for supply-regulated phase-locked loops. IEEE J. Solid State Circuits 41(2): 413-424 (2006) - 2005
- [j3]Ken Mai, Ron Ho, Elad Alon, Dean Liu, Younggon Kim, Dinesh Patil, Mark A. Horowitz:
Architecture and circuit techniques for a 1.1-GHz 16-kb reconfigurable memory in 0.18-μm CMOS. IEEE J. Solid State Circuits 40(1): 261-275 (2005) - [j2]Elad Alon, Vladimir Stojanovic, Mark A. Horowitz:
Circuits and techniques for high-resolution measurement of on-chip power supply noise. IEEE J. Solid State Circuits 40(4): 820-828 (2005) - [j1]Vladimir Stojanovic, Andrew Ho, Bruno W. Garlepp, Fred Chen, Jason Wei, Grace Tsang, Elad Alon, Ravi T. Kollipara, Carl W. Werner, Jared L. Zerbe, Mark A. Horowitz:
Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery. IEEE J. Solid State Circuits 40(4): 1012-1026 (2005) - [c3]Carl W. Werner, C. Hoyer, Andrew Ho, Metha Jeeradit, Fred Chen, Bruno W. Garlepp, Bill Stonecypher, Simon Li, Akash Bansal, Amita Agarwal, Elad Alon, Vladimir Stojanovic, Jared Zerbe:
Modeling, simulation, and design of a multi-mode 2-10 Gb/sec fully adaptive serial link system. CICC 2005: 709-716 - [c2]Valentin A. Abramzon, Elad Alon, Bita Nezamfar, Mark Horowitz:
Scalable circuits for supply noise measurement. ESSCIRC 2005: 463-466 - 2004
- [c1]Elad Alon, Vladimir Stojanovic, Joseph M. Kahn, Stephen P. Boyd, Mark Horowitz:
Equalization of modal dispersion in multimode fiber using spatial light modulators. GLOBECOM 2004: 1023-1029
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-02-04 22:03 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint