default search action
Diana Marculescu
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [c149]Tanvir Mahmud, Shentong Mo, Yapeng Tian, Diana Marculescu:
MA-AVT: Modality Alignment for Parameter-Efficient Audio-Visual Transformers. CVPR Workshops 2024: 7996-8005 - [c148]Ning-Chi Huang, Chi-Chih Chang, Wei-Cheng Lin, Endri Taka, Diana Marculescu, Kai-Chiang Wu:
ELSA: Exploiting Layer-wise N: M Sparsity for Vision Transformer Acceleration. CVPR Workshops 2024: 8006-8015 - [c147]Yuedong Yang, Hung-Yueh Chiang, Guihong Li, Diana Marculescu, Radu Marculescu:
Cache and Reuse: Rethinking the Efficiency of On-device Transfer Learning. CVPR Workshops 2024: 8040-8049 - [c146]Feng Liang, Bichen Wu, Jialiang Wang, Licheng Yu, Kunpeng Li, Yinan Zhao, Ishan Misra, Jia-Bin Huang, Peizhao Zhang, Peter Vajda, Diana Marculescu:
FlowVid: Taming Imperfect Optical Flows for Consistent Video-to-Video Synthesis. CVPR 2024: 8207-8216 - [c145]Tanvir Mahmud, Yapeng Tian, Diana Marculescu:
T-VSL: Text-Guided Visual Sound Source Localization in Mixtures. CVPR 2024: 26732-26741 - [c144]Tanvir Mahmud, Burhaneddin Yaman, Chun-Hao Liu, Diana Marculescu:
PaPr: Training-Free One-Step Patch Pruning with Lightweight ConvNets for Faster Inference. ECCV (23) 2024: 110-128 - [c143]Tanvir Mahmud, Diana Marculescu:
OpenSep: Leveraging Large Language Models with Textual Inversion for Open World Audio Separation. EMNLP 2024: 13244-13260 - [c142]Endri Taka, Dimitrios Gourounas, Andreas Gerstlauer, Diana Marculescu, Aman Arora:
Efficient Approaches for GEMM Acceleration on Leading AI-Optimized FPGAs. FCCM 2024: 54-65 - [c141]Tanvir Mahmud, Saeed Amizadeh, Kazuhito Koishida, Diana Marculescu:
Weakly-supervised Audio Separation via Bi-modal Semantic Similarity. ICLR 2024 - [c140]Chi-Chih Chang, Yuan-Yao Sung, Shixing Yu, Ning-Chi Huang, Diana Marculescu, Kai-Chiang Wu:
FLORA: Fine-grained Low-Rank Architecture Search for Vision Transformer. WACV 2024: 2470-2479 - [c139]Tanvir Mahmud, Chun-Hao Liu, Burhaneddin Yaman, Diana Marculescu:
SSVOD: Semi-Supervised Video Object Detection with Sparse Annotations. WACV 2024: 6759-6768 - [i57]Tanvir Mahmud, Burhaneddin Yaman, Chun-Hao Liu, Diana Marculescu:
PaPr: Training-Free One-Step Patch Pruning with Lightweight ConvNets for Faster Inference. CoRR abs/2403.16020 (2024) - [i56]Tanvir Mahmud, Saeed Amizadeh, Kazuhito Koishida, Diana Marculescu:
Weakly-supervised Audio Separation via Bi-modal Semantic Similarity. CoRR abs/2404.01740 (2024) - [i55]Tanvir Mahmud, Yapeng Tian, Diana Marculescu:
T-VSL: Text-Guided Visual Sound Source Localization in Mixtures. CoRR abs/2404.01751 (2024) - [i54]Endri Taka, Dimitrios Gourounas, Andreas Gerstlauer, Diana Marculescu, Aman Arora:
Efficient Approaches for GEMM Acceleration on Leading AI-Optimized FPGAs. CoRR abs/2404.11066 (2024) - [i53]Feng Liang, Akio Kodaira, Chenfeng Xu, Masayoshi Tomizuka, Kurt Keutzer, Diana Marculescu:
Looking Backward: Streaming Video-to-Video Translation with Feature Banks. CoRR abs/2405.15757 (2024) - [i52]Tanvir Mahmud, Mustafa Munir, Radu Marculescu, Diana Marculescu:
Ada-VE: Training-Free Consistent Video Editing Using Adaptive Motion Prior. CoRR abs/2406.04873 (2024) - [i51]Tanvir Mahmud, Shentong Mo, Yapeng Tian, Diana Marculescu:
MA-AVT: Modality Alignment for Parameter-Efficient Audio-Visual Transformers. CoRR abs/2406.04930 (2024) - [i50]Hung-Yueh Chiang, Diana Marculescu:
SCAN-Edge: Finding MobileNet-speed Hybrid Networks for Diverse Edge Devices via Hardware-Aware Evolutionary Search. CoRR abs/2408.15395 (2024) - [i49]Ning-Chi Huang, Chi-Chih Chang, Wei-Cheng Lin, Endri Taka, Diana Marculescu, Kai-Chiang Wu:
ELSA: Exploiting Layer-wise N:M Sparsity for Vision Transformer Acceleration. CoRR abs/2409.09708 (2024) - [i48]Tanvir Mahmud, Diana Marculescu:
OpenSep: Leveraging Large Language Models with Textual Inversion for Open World Audio Separation. CoRR abs/2409.19270 (2024) - 2023
- [j58]Ahmet Inci, Siri Garudanagiri Virupaksha, Aman Jain, Ting-Wu Chin, Venkata Vivek Thallam, Ruizhou Ding, Diana Marculescu:
QUIDAM: A Framework for Quantization-aware DNN Accelerator and Model Co-Exploration. ACM Trans. Embed. Comput. Syst. 22(2): 33:1-33:21 (2023) - [c138]Hung-Yueh Chiang, Natalia Frumkin, Feng Liang, Diana Marculescu:
MobileTL: On-Device Transfer Learning with Inverted Residual Blocks. AAAI 2023: 7166-7174 - [c137]Feng Liang, Bichen Wu, Xiaoliang Dai, Kunpeng Li, Yinan Zhao, Hang Zhang, Peizhao Zhang, Peter Vajda, Diana Marculescu:
Open-Vocabulary Semantic Segmentation with Mask-adapted CLIP. CVPR 2023: 7061-7070 - [c136]Endri Taka, Aman Arora, Kai-Chiang Wu, Diana Marculescu:
MaxEVA: Maximizing the Efficiency of Matrix Multiplication on Versal AI Engine. ICFPT 2023: 96-105 - [c135]Tanvir Mahmud, Feng Liang, Yaling Qing, Diana Marculescu:
CLIP4VideoCap: Rethinking Clip for Video Captioning with Multiscale Temporal Fusion and Commonsense Knowledge. ICASSP 2023: 1-5 - [c134]Natalia Frumkin, Dibakar Gope, Diana Marculescu:
Jumping through Local Minima: Quantization in the Loss Landscape of Vision Transformers. ICCV 2023: 16932-16942 - [c133]Yuedong Yang, Hung-Yueh Chiang, Guihong Li, Diana Marculescu, Radu Marculescu:
Efficient Low-rank Backpropagation for Vision Transformer Adaptation. NeurIPS 2023 - [c132]Tanvir Mahmud, Diana Marculescu:
AVE-CLIP: AudioCLIP-based Multi-window Temporal Transformer for Audio Visual Event Localization. WACV 2023: 5147-5156 - [i47]Natalia Frumkin, Dibakar Gope, Diana Marculescu:
Jumping through Local Minima: Quantization in the Loss Landscape of Vision Transformers. CoRR abs/2308.10814 (2023) - [i46]Tanvir Mahmud, Chun-Hao Liu, Burhaneddin Yaman, Diana Marculescu:
SSVOD: Semi-Supervised Video Object Detection with Sparse Annotations. CoRR abs/2309.01391 (2023) - [i45]Yuedong Yang, Hung-Yueh Chiang, Guihong Li, Diana Marculescu, Radu Marculescu:
Efficient Low-rank Backpropagation for Vision Transformer Adaptation. CoRR abs/2309.15275 (2023) - [i44]Chi-Chih Chang, Yuan-Yao Sung, Shixing Yu, Ning-Chi Huang, Diana Marculescu, Kai-Chiang Wu:
FLORA: Fine-grained Low-Rank Architecture Search for Vision Transformer. CoRR abs/2311.03912 (2023) - [i43]Endri Taka, Aman Arora, Kai-Chiang Wu, Diana Marculescu:
MaxEVA: Maximizing the Efficiency of Matrix Multiplication on Versal AI Engine. CoRR abs/2311.04980 (2023) - [i42]Feng Liang, Bichen Wu, Jialiang Wang, Licheng Yu, Kunpeng Li, Yinan Zhao, Ishan Misra, Jia-Bin Huang, Peizhao Zhang, Peter Vajda, Diana Marculescu:
FlowVid: Taming Imperfect Optical Flows for Consistent Video-to-Video Synthesis. CoRR abs/2312.17681 (2023) - 2022
- [j57]Ahmet Inci, Mehmet Meric Isgenc, Diana Marculescu:
DeepNVM++: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep Learning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3426-3437 (2022) - [c131]Feng Liang, Ting-Wu Chin, Yang Zhou, Diana Marculescu:
ANT: Adapt Network Across Time for Efficient Video Processing. CVPR Workshops 2022: 2602-2607 - [e5]Diana Marculescu, Yuejie Chi, Carole-Jean Wu:
Proceedings of the Fifth Conference on Machine Learning and Systems, MLSys 2022, Santa Clara, CA, USA, August 29 - September 1, 2022. mlsys.org 2022 [contents] - [i41]Ahmet Inci, Siri Garudanagiri Virupaksha, Aman Jain, Venkata Vivek Thallam, Ruizhou Ding, Diana Marculescu:
QAPPA: Quantization-Aware Power, Performance, and Area Modeling of DNN Accelerators. CoRR abs/2205.08648 (2022) - [i40]Ahmet Inci, Siri Garudanagiri Virupaksha, Aman Jain, Venkata Vivek Thallam, Ruizhou Ding, Diana Marculescu:
QADAM: Quantization-Aware DNN Accelerator Modeling for Pareto-Optimality. CoRR abs/2205.13045 (2022) - [i39]Feng Liang, Yangguang Li, Diana Marculescu:
SupMAE: Supervised Masked Autoencoders Are Efficient Vision Learners. CoRR abs/2205.14540 (2022) - [i38]Yang Zhou, Feng Liang, Ting-Wu Chin, Diana Marculescu:
Play It Cool: Dynamic Shifting Prevents Thermal Throttling. CoRR abs/2206.10849 (2022) - [i37]Ahmet Inci, Mehmet Meric Isgenc, Diana Marculescu:
Efficient Deep Learning Using Non-Volatile Memory Technology. CoRR abs/2206.13601 (2022) - [i36]Ahmet Inci, Siri Garudanagiri Virupaksha, Aman Jain, Ting-Wu Chin, Venkata Vivek Thallam, Ruizhou Ding, Diana Marculescu:
QUIDAM: A Framework for Quantization-Aware DNN Accelerator and Model Co-Exploration. CoRR abs/2206.15463 (2022) - [i35]Feng Liang, Bichen Wu, Xiaoliang Dai, Kunpeng Li, Yinan Zhao, Hang Zhang, Peizhao Zhang, Peter Vajda, Diana Marculescu:
Open-Vocabulary Semantic Segmentation with Mask-adapted CLIP. CoRR abs/2210.04150 (2022) - [i34]Tanvir Mahmud, Diana Marculescu:
AVE-CLIP: AudioCLIP-based Multi-window Temporal Transformer for Audio Visual Event Localization. CoRR abs/2210.05060 (2022) - [i33]Natalia Frumkin, Dibakar Gope, Diana Marculescu:
CPT-V: A Contrastive Approach to Post-Training Quantization of Vision Transformers. CoRR abs/2211.09643 (2022) - [i32]Hung-Yueh Chiang, Natalia Frumkin, Feng Liang, Diana Marculescu:
MobileTL: On-device Transfer Learning with Inverted Residual Blocks. CoRR abs/2212.03246 (2022) - 2021
- [c130]Ting-Wu Chin, Diana Marculescu, Ari S. Morcos:
Width Transfer: On the (In)variance of Width Optimization. CVPR Workshops 2021: 2990-2999 - [c129]Ting-Wu Chin, Cha Zhang, Diana Marculescu:
Renofeation: A Simple Transfer Learning Method for Improved Adversarial Robustness. CVPR Workshops 2021: 3243-3252 - [c128]Diana Marculescu:
When Climate Meets Machine Learning: Edge to Cloud ML Energy Efficiency. ISLPED 2021: 1 - [c127]Ting-Wu Chin, Ari S. Morcos, Diana Marculescu:
Joslim: Joint Widths and Weights Optimization for Slimmable Neural Networks. ECML/PKDD (3) 2021: 119-134 - [c126]Ting-Wu Chin, Dimitrios Stamoulis, Diana Marculescu:
Putting the "Machine" Back in Machine Learning for Engineering Students. Teaching ML 2021: 78-82 - [i31]Ting-Wu Chin, Diana Marculescu, Ari S. Morcos:
Width Transfer: On the (In)variance of Width Optimization. CoRR abs/2104.13255 (2021) - 2020
- [j56]Lixin Fan, Diana Marculescu, Werner Bailer, Yurong Chen:
Editorial: Special Issue on Compact Deep Neural Networks With Industrial Applications. IEEE J. Sel. Top. Signal Process. 14(4): 605-608 (2020) - [j55]Dimitrios Stamoulis, Ruizhou Ding, Di Wang, Dimitrios Lymberopoulos, Bodhi Priyantha, Jie Liu, Diana Marculescu:
Single-Path Mobile AutoML: Efficient ConvNet Design and NAS Hyperparameter Optimization. IEEE J. Sel. Top. Signal Process. 14(4): 609-622 (2020) - [c125]Ting-Wu Chin, Ruizhou Ding, Cha Zhang, Diana Marculescu:
Towards Efficient Model Compression via Learned Global Ranking. CVPR 2020: 1515-1525 - [c124]Ahmet Fatih Inci, Mehmet Meric Isgenc, Diana Marculescu:
DeepNVM: A Framework for Modeling and Analysis of Non-Volatile Memory Technologies for Deep Learning Applications. DATE 2020: 1295-1298 - [c123]Ting-Wu Chin, Pierce I-Jen Chuang, Vikas Chandra, Diana Marculescu:
One Weight Bitwidth to Rule Them All. ECCV Workshops (5) 2020: 85-103 - [c122]Radu Marculescu, Diana Marculescu, Ümit Y. Ogras:
Edge AI: Systems Design and ML for IoT Data Analytics. KDD 2020: 3565-3566 - [c121]Zhuo Chen, Jiyuan Zhang, Ruizhou Ding, Diana Marculescu:
ViP: Virtual Pooling for Accelerating CNN-based Image Classification and Object Detection. WACV 2020: 1169-1178 - [i30]Ting-Wu Chin, Cha Zhang, Diana Marculescu:
Improving the Adversarial Robustness of Transfer Learning via Noisy Feature Distillation. CoRR abs/2002.02998 (2020) - [i29]R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, Igor L. Markov:
Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond. CoRR abs/2005.01588 (2020) - [i28]Ting-Wu Chin, Ari S. Morcos, Diana Marculescu:
PareCO: Pareto-aware Channel Optimization for Slimmable Neural Networks. CoRR abs/2007.11752 (2020) - [i27]Ting-Wu Chin, Pierce I-Jen Chuang, Vikas Chandra, Diana Marculescu:
One Weight Bitwidth to Rule Them All. CoRR abs/2008.09916 (2020) - [i26]Ahmet Fatih Inci, Evgeny Bolotin, Yaosheng Fu, Gal Dalal, Shie Mannor, David W. Nellans, Diana Marculescu:
The Architectural Implications of Distributed Reinforcement Learning on CPU-GPU Systems. CoRR abs/2012.04210 (2020) - [i25]Ahmet Inci, Mehmet Meric Isgenc, Diana Marculescu:
DeepNVM++: Cross-Layer Modeling and Optimization Framework of Non-Volatile Memories for Deep Learning. CoRR abs/2012.04559 (2020)
2010 – 2019
- 2019
- [j54]Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems. IEEE Trans. Computers 68(6): 852-866 (2019) - [j53]Ifigeneia Apostolopoulou, Diana Marculescu:
Tractable Learning and Inference for Large-Scale Probabilistic Boolean Networks. IEEE Trans. Neural Networks Learn. Syst. 30(9): 2720-2734 (2019) - [c120]Ruizhou Ding, Ting-Wu Chin, Zeye Liu, Diana Marculescu:
Regularizing Activation Distribution for Training Binarized Deep Networks. CVPR 2019: 11408-11417 - [c119]Ruizhou Ding, Zeye Liu, Ting-Wu Chin, Diana Marculescu, R. D. (Shawn) Blanton:
FLightNNs: Lightweight Quantized Deep Neural Networks for Fast and Accurate Inference. DAC 2019: 200 - [c118]Ting-Wu Chin, Ruizhou Ding, Diana Marculescu:
AdaScale: Towards Real-time Video Object Detection using Adaptive Scaling. SysML 2019 - [c117]Dimitrios Stamoulis, Ruizhou Ding, Di Wang, Dimitrios Lymberopoulos, Bodhi Priyantha, Jie Liu, Diana Marculescu:
Single-Path NAS: Designing Hardware-Efficient ConvNets in Less Than 4 Hours. ECML/PKDD (2) 2019: 481-497 - [i24]Zhuo Chen, Ruizhou Ding, Ting-Wu Chin, Diana Marculescu:
Understanding the Impact of Label Granularity on CNN-based Image Classification. CoRR abs/1901.07012 (2019) - [i23]Ting-Wu Chin, Ruizhou Ding, Diana Marculescu:
AdaScale: Towards Real-time Video Object Detection Using Adaptive Scaling. CoRR abs/1902.02910 (2019) - [i22]Ruizhou Ding, Ting-Wu Chin, Zeye Liu, Diana Marculescu:
Regularizing Activation Distribution for Training Binarized Deep Networks. CoRR abs/1904.02823 (2019) - [i21]Ruizhou Ding, Zeye Liu, Ting-Wu Chin, Diana Marculescu, Ronald D. Blanton:
FLightNNs: Lightweight Quantized Deep Neural Networks for Fast and Accurate Inference. CoRR abs/1904.02835 (2019) - [i20]Dimitrios Stamoulis, Ruizhou Ding, Di Wang, Dimitrios Lymberopoulos, Bodhi Priyantha, Jie Liu, Diana Marculescu:
Single-Path NAS: Designing Hardware-Efficient ConvNets in less than 4 Hours. CoRR abs/1904.02877 (2019) - [i19]Ting-Wu Chin, Ruizhou Ding, Cha Zhang, Diana Marculescu:
LeGR: Filter Pruning via Learned Global Ranking. CoRR abs/1904.12368 (2019) - [i18]Dimitrios Stamoulis, Ruizhou Ding, Di Wang, Dimitrios Lymberopoulos, Bodhi Priyantha, Jie Liu, Diana Marculescu:
Single-Path NAS: Device-Aware Efficient ConvNet Design. CoRR abs/1905.04159 (2019) - [i17]Zhuo Chen, Jiyuan Zhang, Ruizhou Ding, Diana Marculescu:
ViP: Virtual Pooling for Accelerating CNN-based Image Classification and Object Detection. CoRR abs/1906.07912 (2019) - [i16]Dimitrios Stamoulis, Ruizhou Ding, Di Wang, Dimitrios Lymberopoulos, Bodhi Priyantha, Jie Liu, Diana Marculescu:
Single-Path Mobile AutoML: Efficient ConvNet Design and NAS Hyperparameter Optimization. CoRR abs/1907.00959 (2019) - 2018
- [j52]Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis. Computer 51(7): 66-77 (2018) - [j51]Wonje Choi, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems. IEEE Trans. Computers 67(5): 672-686 (2018) - [j50]Zhuo Chen, Dimitrios Stamoulis, Diana Marculescu:
Profit: Priority and Power/Performance Optimization for Many-Core Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10): 2064-2075 (2018) - [j49]Ruizhou Ding, Zeye Liu, R. D. (Shawn) Blanton, Diana Marculescu:
Lightening the Load with Highly Accurate Storage- and Energy-Efficient LightNNs. ACM Trans. Reconfigurable Technol. Syst. 11(3): 17:1-17:24 (2018) - [c116]Ruizhou Ding, Zeye Liu, R. D. (Shawn) Blanton, Diana Marculescu:
Quantized deep neural networks for energy efficient hardware-based inference. ASP-DAC 2018: 1-8 - [c115]Dimitrios Stamoulis, Ermao Cai, Da-Cheng Juan, Diana Marculescu:
HyperPower: Power- and memory-constrained hyper-parameter optimization for neural networks. DATE 2018: 19-24 - [c114]Dimitrios Stamoulis, Ting-Wu (Rudy) Chin, Anand Krishnan Prakash, Haocheng Fang, Sribhuvan Sajja, Mitchell Bognar, Diana Marculescu:
Designing adaptive neural networks for energy-constrained image classification. ICCAD 2018: 23 - [c113]Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Hybrid on-chip communication architectures for heterogeneous manycore systems. ICCAD 2018: 62 - [c112]Diana Marculescu, Dimitrios Stamoulis, Ermao Cai:
Hardware-aware machine learning: modeling and optimization. ICCAD 2018: 137 - [c111]Zhuo Chen, Ruizhou Ding, Ting-Wu Chin, Diana Marculescu:
Understanding the Impact of Label Granularity on CNN-Based Image Classification. ICDM Workshops 2018: 895-904 - [i15]Ifigeneia Apostolopoulou, Diana Marculescu:
Tractable Learning and Inference for Large-Scale Probabilistic Boolean Networks. CoRR abs/1801.07693 (2018) - [i14]Ruizhou Ding, Zeye Liu, Rongye Shi, Diana Marculescu, R. D. (Shawn) Blanton:
LightNN: Filling the Gap between Conventional Deep Neural Networks and Binarized Networks. CoRR abs/1802.02178 (2018) - [i13]Dimitrios Stamoulis, Ting-Wu (Rudy) Chin, Anand Krishnan Prakash, Haocheng Fang, Sribhuvan Sajja, Mitchell Bognar, Diana Marculescu:
Designing Adaptive Neural Networks for Energy-Constrained Image Classification. CoRR abs/1808.01550 (2018) - [i12]Diana Marculescu, Dimitrios Stamoulis, Ermao Cai:
Hardware-Aware Machine Learning: Modeling and Optimization. CoRR abs/1809.05476 (2018) - [i11]Ting-Wu Chin, Cha Zhang, Diana Marculescu:
Layer-compensated Pruning for Resource-constrained Convolutional Neural Networks. CoRR abs/1810.00518 (2018) - [i10]Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Learning-based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems. CoRR abs/1810.08869 (2018) - 2017
- [j48]Yatish Turakhia, Guangshuo Liu, Siddharth Garg, Diana Marculescu:
Thread Progress Equalization: Dynamically Adaptive Power-Constrained Performance Optimization of Multi-Threaded Applications. IEEE Trans. Computers 66(4): 731-744 (2017) - [j47]Ermao Cai, Diana Marculescu:
Temperature Effect Inversion-Aware Power-Performance Optimization for FinFET-Based Multicore Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(11): 1897-1910 (2017) - [j46]Ryan Gary Kim, Wonje Choi, Zhuo Chen, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems. IEEE Trans. Very Large Scale Integr. Syst. 25(9): 2458-2471 (2017) - [c110]Ermao Cai, Da-Cheng Juan, Dimitrios Stamoulis, Diana Marculescu:
\emphNeuralPower: Predict and Deploy Energy-Efficient Convolutional Neural Networks. ACML 2017: 622-637 - [c109]Ruizhou Ding, Dimitrios Stamoulis, Kartikeya Bhardwaj, Diana Marculescu, Radu Marculescu:
Enhancing precipitation models by capturing multivariate and multiscale climate dynamics. CySWATER@CPSWeek 2017: 39-42 - [c108]Da-Cheng Juan, Neil Shah, Mingyu Tang, Zhiliang Qian, Diana Marculescu, Christos Faloutsos:
M3A: Model, MetaModel and Anomaly Detection for Inter-arrivals of Web Searches and Postings. DSAA 2017: 341-350 - [c107]Ruizhou Ding, Diana Marculescu:
Leveraging Classification Models for River Forecasting. SIGSPATIAL/GIS 2017: 83:1-83:4 - [c106]Ruizhou Ding, Zeye Liu, Rongye Shi, Diana Marculescu, R. D. (Shawn) Blanton:
LightNN: Filling the Gap between Conventional Deep Neural Networks and Binarized Networks. ACM Great Lakes Symposium on VLSI 2017: 35-40 - [c105]Biresh Kumar Joardar, Wonje Choi, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
3D NoC-Enabled Heterogeneous Manycore Architectures for Accelerating CNN Training: Performance and Thermal Trade-offs. NOCS 2017: 18:1-18:8 - [i9]Ermao Cai, Da-Cheng Juan, Dimitrios Stamoulis, Diana Marculescu:
NeuralPower: Predict and Deploy Energy-Efficient Convolutional Neural Networks. CoRR abs/1710.05420 (2017) - [i8]Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis. CoRR abs/1712.00076 (2017) - [i7]Wonje Choi, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems. CoRR abs/1712.02293 (2017) - [i6]Dimitrios Stamoulis, Ermao Cai, Da-Cheng Juan, Diana Marculescu:
HyperPower: Power- and Memory-Constrained Hyper-Parameter Optimization for Neural Networks. CoRR abs/1712.02446 (2017) - [i5]Zhuo Chen, Diana Marculescu:
Task Scheduling for Heterogeneous Multicore Systems. CoRR abs/1712.03209 (2017) - [i4]Zhuo Chen, Diana Marculescu:
Priority-Aware Near-Optimal Scheduling for Heterogeneous Multi-Core Systems with Specialized Accelerators. CoRR abs/1712.03246 (2017) - 2016
- [j45]Jiajia Jiao, Diana Marculescu, Da-Cheng Juan, Yuzhuo Fu:
A two-level approximate model driven framework for characterizing Multi-Cell Upsets impacts on processors. Microelectron. J. 48: 7-17 (2016) - [j44]Ryan Gary Kim, Wonje Choi, Guangshuo Liu, Ehsan Mohandesi, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-Offs. IEEE Trans. Computers 65(4): 1323-1336 (2016) - [j43]Zhiliang Qian, Da-Cheng Juan, Paul Bogdan, Chi-Ying Tsui, Diana Marculescu, Radu Marculescu:
A Support Vector Regression (SVR)-Based Latency Model for Network-on-Chip (NoC) Architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(3): 471-484 (2016) - [j42]Ermao Cai, Da-Cheng Juan, Siddharth Garg, Jinpyo Park, Diana Marculescu:
Learning-Based Power/Performance Optimization for Many-Core Systems With Extended-Range Voltage/Frequency Scaling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(8): 1318-1331 (2016) - [j41]Ryan Gary Kim, Wonje Choi, Zhuo Chen, Partha Pratim Pande, Diana Marculescu, Radu Marculescu:
Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty. IEEE Trans. Very Large Scale Integr. Syst. 24(7): 2488-2501 (2016) - [c104]Wonje Choi, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Radu Marculescu, Diana Marculescu:
Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms. CASES 2016: 13:1-13:10 - [c103]Ermao Cai, Dimitrios Stamoulis, Diana Marculescu:
Exploring aging deceleration in FinFET-based multi-core systems. ICCAD 2016: 111 - [c102]Dimitrios Stamoulis, Diana Marculescu:
Can We Guarantee Performance Requirements under Workload and Process Variations? ISLPED 2016: 308-313 - [i3]Yatish Turakhia, Guangshuo Liu, Siddharth Garg, Diana Marculescu:
Thread Progress Equalization: Dynamically Adaptive Power and Performance Optimization of Multi-threaded Applications. CoRR abs/1603.06346 (2016) - [i2]Da-Cheng Juan, Neil Shah, Mingyu Tang, Zhiliang Qian, Diana Marculescu, Christos Faloutsos:
M3A: Model, MetaModel, and Anomaly Detection in Web Searches. CoRR abs/1606.05978 (2016) - 2015
- [j40]Jiajia Jiao, Da-Cheng Juan, Diana Marculescu, Yuzhuo Fu:
Exploiting component dependency for accurate and efficient soft error analysis via Probabilistic Graphical Models. Microelectron. Reliab. 55(1): 251-263 (2015) - [j39]Guangshuo Liu, Jinpyo Park, Diana Marculescu:
Procrustes1: Power Constrained Performance Improvement Using Extended Maximize-Then-Swap Algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(10): 1664-1676 (2015) - [c101]Diana Marculescu, Da-Cheng Juan, Guangshuo Liu:
Understanding and Using Heterogeneity for High Performance, Energy Efficient Computing: Special Session Extended Abstract. CSCS 2015: 1000 - [c100]Karthi Duraisamy, Ryan Gary Kim, Wonje Choi, Guangshuo Liu, Partha Pratim Pande, Radu Marculescu, Diana Marculescu:
Energy efficient MapReduce with VFI-enabled multicore platforms. DAC 2015: 6:1-6:6 - [c99]Zhuo Chen, Diana Marculescu:
Distributed reinforcement learning for power limited many-core system performance optimization. DATE 2015: 1521-1526 - [c98]Diana Marculescu:
The quest for energy aware computing. IGSC 2015: 1 - [c97]Partha Pratim Pande, Ryan Gary Kim, Wonje Choi, Zhuo Chen, Diana Marculescu, Radu Marculescu:
The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC. ICCAD 2015: 165-169 - [c96]Ermao Cai, Diana Marculescu:
TEI-Turbo: Temperature Effect Inversion-Aware Turbo Boost for FinFET-Based Multi-Core Systems. ICCAD 2015: 500-507 - [c95]Ronald D. Blanton, Xin Li, Ken Mai, Diana Marculescu, Radu Marculescu, Jeyanandh Paramesh, Jeff G. Schneider, Donald E. Thomas:
Statistical Learning in Chip (SLIC). ICCAD 2015: 664-669 - [e4]Diana Marculescu, Frank Liu:
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015. IEEE 2015, ISBN 978-1-4673-8389-9 [contents] - [e3]André Ivanov, Diana Marculescu, Partha Pratim Pande, José Flich, Karthik Pattabiraman:
Proceedings of the 9th International Symposium on Networks-on-Chip, NOCS 2015, Vancouver, BC, Canada, September 28-30, 2015. ACM 2015, ISBN 978-1-4503-3396-2 [contents] - 2014
- [j38]Da-Cheng Juan, Siddharth Garg, Diana Marculescu:
Statistical Peak Temperature Prediction and Thermal Yield Improvement for 3D Chip Multiprocessors. ACM Trans. Design Autom. Electr. Syst. 19(4): 39:1-39:23 (2014) - [j37]Kai-Chiang Wu, Diana Marculescu:
Power-Planning-Aware Soft Error Hardening via Selective Voltage Assignment. IEEE Trans. Very Large Scale Integr. Syst. 22(1): 136-145 (2014) - [c94]Zhiliang Qian, Da-Cheng Juan, Paul Bogdan, Chi-Ying Tsui, Diana Marculescu, Radu Marculescu:
A comprehensive and accurate latency model for Network-on-Chip performance analysis. ASP-DAC 2014: 323-328 - [c93]Ryan Gary Kim, Guangshuo Liu, Paul Wettin, Radu Marculescu, Diana Marculescu, Partha Pratim Pande:
Energy-efficient VFI-partitioned multicore design using wireless NoC architectures. CASES 2014: 3:1-3:9 - [c92]Muhammad Shafique, Siddharth Garg, Jörg Henkel, Diana Marculescu:
The EDA Challenges in the Dark Silicon Era: Temperature, Reliability, and Variability Perspectives. DAC 2014: 185:1-185:6 - [c91]Ronald D. Blanton, Xin Li, Ken Mai, Diana Marculescu, Radu Marculescu, Jeyanandh Paramesh, Jeff G. Schneider, Donald E. Thomas:
SLIC: Statistical learning in chip. ISIC 2014: 119-123 - [c90]Da-Cheng Juan, Lei Li, Huan-Kai Peng, Diana Marculescu, Christos Faloutsos:
Beyond Poisson: Modeling Inter-Arrival Time of Requests in a Datacenter. PAKDD (2) 2014: 198-209 - 2013
- [j36]Siddharth Garg, Diana Marculescu:
Addressing Process Variations at the Microarchitecture and System Level. Found. Trends Electron. Des. Autom. 6(3): 217-291 (2013) - [j35]Diana Marculescu, Chita R. Das:
Editorial to special section on networks on chip: Architecture, tools, and methodologies. ACM Trans. Design Autom. Electr. Syst. 18(4): 45:1-45:2 (2013) - [j34]Kai-Chiang Wu, Diana Marculescu:
A Low-Cost, Systematic Methodology for Soft Error Robustness of Logic Circuits. IEEE Trans. Very Large Scale Integr. Syst. 21(2): 367-379 (2013) - [j33]Siddharth Garg, Diana Marculescu:
Mitigating the Impact of Process Variation on the Performance of 3-D Integrated Circuits. IEEE Trans. Very Large Scale Integr. Syst. 21(10): 1903-1914 (2013) - [c89]Da-Cheng Juan, Siddharth Garg, Jinpyo Park, Diana Marculescu:
Learning the optimal operating point for many-core systems with extended range voltage/frequency scaling. CODES+ISSS 2013: 8:1-8:10 - [c88]Natasa Miskov-Zivanov, Diana Marculescu, James R. Faeder:
Dynamic behavior of cell signaling networks: model design and analysis automation. DAC 2013: 8:1-8:6 - [c87]Yatish Turakhia, Bharathwaj Raghunathan, Siddharth Garg, Diana Marculescu:
HaDeS: architectural synthesis for <u>h</u>eterogeneous <u>d</u>ark <u>s</u>ilicon chip multi-processors. DAC 2013: 173:1-173:7 - [c86]Bharathwaj Raghunathan, Yatish Turakhia, Siddharth Garg, Diana Marculescu:
Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors. DATE 2013: 39-44 - [c85]Zhiliang Qian, Da-Cheng Juan, Paul Bogdan, Chi-Ying Tsui, Diana Marculescu, Radu Marculescu:
SVR-NoC: a performance analysis tool for network-on-chips using learning-based support vector regression model. DATE 2013: 354-357 - [c84]Abhishek A. Sharma, Kaustubh Neelathalli, Diana Marculescu, Eriko Nurvitadhi:
Hardware-efficient stereo estimation using a residual-based approach. ICASSP 2013: 2693-2696 - [c83]Guangshuo Liu, Jinpyo Park, Diana Marculescu:
Dynamic thread mapping for high-performance, power-efficient heterogeneous many-core systems. ICCD 2013: 54-61 - [c82]Da-Cheng Juan, Siddharth Garg, Diana Marculescu:
Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions. ISCAS 2013: 541-544 - [c81]R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, Igor L. Markov:
"Scaling" the impact of EDA education Preliminary findings from the CCC workshop series on extreme scale design automation. MSE 2013: 64-67 - 2012
- [j32]Siddharth Garg, Diana Marculescu, Radu Marculescu:
Technology-driven limits on runtime power management algorithms for multiprocessor systems-on-chip. ACM J. Emerg. Technol. Comput. Syst. 8(4): 28:1-28:17 (2012) - [j31]Diana Marculescu, Peng Li:
Guest Editorial Special Section on PAR-CAD: Parallel CAD Algorithms and CAD for Parallel Architectures/Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(1): 7-8 (2012) - [j30]Siddharth Garg, Diana Marculescu:
On the Impact of Manufacturing Process Variations on the Lifetime of Sensor Networks. ACM Trans. Embed. Comput. Syst. 11(2): 33:1-33:13 (2012) - [j29]Sebastian Herbert, Siddharth Garg, Diana Marculescu:
Exploiting Process Variability in Voltage/Frequency Control. IEEE Trans. Very Large Scale Integr. Syst. 20(8): 1392-1404 (2012) - [j28]Siddharth Garg, Diana Marculescu:
System-Level Leakage Variability Mitigation for MPSoC Platforms Using Body-Bias Islands. IEEE Trans. Very Large Scale Integr. Syst. 20(12): 2289-2301 (2012) - [c80]Da-Cheng Juan, Huapeng Zhou, Diana Marculescu, Xin Li:
A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors. ASP-DAC 2012: 597-602 - [c79]Da-Cheng Juan, Yi-Lin Chuang, Diana Marculescu, Yao-Wen Chang:
Statistical thermal modeling and optimization considering leakage power variations. DATE 2012: 605-610 - [c78]Kai-Chiang Wu, Ming-Chao Lee, Diana Marculescu, Shih-Chieh Chang:
Mitigating lifetime underestimation: A system-level approach considering temperature variations and correlations between failure mechanisms. DATE 2012: 1269-1274 - [c77]Da-Cheng Juan, Diana Marculescu:
Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors. ISLPED 2012: 97-102 - [c76]Ming-Chao Lee, Yiyu Shi, Yu-Guang Chen, Diana Marculescu, Shih-Chieh Chang:
Efficient on-line module-level wake-up scheduling for high performance multi-module designs. ISPD 2012: 97-104 - 2011
- [c75]Natasa Miskov-Zivanov, Andrew Bresticker, Deepa Krishnaswamy, Sreesan Venkatakrishnan, Diana Marculescu, James R. Faeder:
Emulation of biological networks in reconfigurable hardware. BCB 2011: 536-540 - [c74]Da-Cheng Juan, Siddharth Garg, Diana Marculescu:
Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations. DATE 2011: 383-388 - [c73]Kai-Chiang Wu, Diana Marculescu:
Aging-aware timing analysis and optimization considering path sensitization. DATE 2011: 1572-1577 - [c72]Natasa Miskov-Zivanov, Andrew Bresticker, Deepa Krishnaswamy, Sreesan Venkatakrishnan, Prashant Kashinkunti, Diana Marculescu, James R. Faeder:
Regulatory network analysis acceleration with reconfigurable hardware. EMBC 2011: 149-152 - [c71]Yi-Lin Chuang, Hong-Ting Lin, Tsung-Yi Ho, Yao-Wen Chang, Diana Marculescu:
PRICE: Power reduction by placement and clock-network co-synthesis for pulsed-latch designs. ICCAD 2011: 85-90 - [c70]Kai-Chiang Wu, Diana Marculescu, Ming-Chao Lee, Shih-Chieh Chang:
Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits. ISLPED 2011: 139-144 - [c69]Siddharth Garg, Diana Marculescu:
Special session 4A: New topics parametric yield and reliability of 3D integrated circuits: New challenges and solutions. VTS 2011: 99 - 2010
- [j27]Natasa Miskov-Zivanov, Diana Marculescu:
Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(10): 1614-1627 (2010) - [c68]Natasa Miskov-Zivanov, Diana Marculescu:
Formal modeling and reasoning for reliability analysis. DAC 2010: 531-536 - [c67]Kai-Chiang Wu, Diana Marculescu:
Clock skew scheduling for soft-error-tolerant sequential circuits. DATE 2010: 717-722 - [c66]Siddharth Garg, Diana Marculescu, Sebastian Herbert:
Process variation aware performance modeling and dynamic power management for multi-core systems. ICCAD 2010: 89-92 - [c65]Siddharth Garg, Diana Marculescu, Radu Marculescu:
Custom feedback control: enabling truly scalable on-chip power management for MPSoCs. ISLPED 2010: 425-430
2000 – 2009
- 2009
- [j26]Ümit Y. Ogras, Radu Marculescu, Diana Marculescu, Eun-Gu Jung:
Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip. IEEE Trans. Very Large Scale Integr. Syst. 17(3): 330-341 (2009) - [j25]Puru Choudhary, Diana Marculescu:
Power Management of Voltage/Frequency Island-Based Systems Using Hardware-Based Methods. IEEE Trans. Very Large Scale Integr. Syst. 17(3): 427-438 (2009) - [j24]Sebastian Herbert, Diana Marculescu:
Mitigating the Impact of Variability on Chip-Multiprocessor Power and Performance. IEEE Trans. Very Large Scale Integr. Syst. 17(10): 1520-1533 (2009) - [c64]Siddharth Garg, Diana Marculescu, Radu Marculescu, Ümit Y. Ogras:
Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs: a system-level perspective. DAC 2009: 818-821 - [c63]Kai-Chiang Wu, Diana Marculescu:
Joint logic restructuring and pin reordering against NBTI-induced performance degradation. DATE 2009: 75-80 - [c62]Siddharth Garg, Diana Marculescu:
System-level process variability analysis and mitigation for 3D MPSoCs. DATE 2009: 604-609 - [c61]Sebastian Herbert, Diana Marculescu:
Variation-aware dynamic voltage/frequency scaling. HPCA 2009: 301-312 - [c60]Alyssa Bonnoit, Sebastian Herbert, Diana Marculescu, Lawrence T. Pileggi:
Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection. ISLPED 2009: 207-212 - [c59]Wan-Ping Lee, Diana Marculescu, Yao-Wen Chang:
Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs. ISPD 2009: 5-12 - [c58]Siddharth Garg, Diana Marculescu:
3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. ISQED 2009: 147-155 - [c57]Natasa Miskov-Zivanov, Diana Marculescu:
A systematic approach to modeling and analysis of transient faults in logic circuits. ISQED 2009: 408-413 - 2008
- [j23]Natasa Miskov-Zivanov, Diana Marculescu:
Modeling and Optimization for Soft-Error Reliability of Sequential Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5): 803-816 (2008) - [j22]Diana Marculescu, Siddharth Garg:
Process-Driven Variability Analysis of Single and Multiple Voltage-Frequency Island Latency-Constrained Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5): 893-905 (2008) - [j21]Siddharth Garg, Diana Marculescu:
System-level throughput analysis for process variation aware multiple voltage-frequency island designs. ACM Trans. Design Autom. Electr. Syst. 13(4): 59:1-59:25 (2008) - [j20]Diana Marculescu, Jörg Henkel:
Guest Editorial Special Section on Low-Power Electronics and Design. IEEE Trans. Very Large Scale Integr. Syst. 16(6): 609-610 (2008) - [c56]Kai-Chiang Wu, Diana Marculescu:
Soft error rate reduction using redundancy addition and removal. ASP-DAC 2008: 559-564 - [c55]Siddharth Garg, Diana Marculescu:
System-level mitigation of WID leakage power variability using body-bias islands. CODES+ISSS 2008: 273-278 - [c54]Sebastian Herbert, Diana Marculescu:
Characterizing chip-multiprocessor variability-tolerance. DAC 2008: 313-318 - [c53]Ümit Y. Ogras, Radu Marculescu, Diana Marculescu:
Variation-adaptive feedback control for networks-on-chip with multiple clock domains. DAC 2008: 614-619 - [c52]Diana Marculescu, Sani R. Nassif:
Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level. DATE 2008 - [c51]Natasa Miskov-Zivanov, Kai-Chiang Wu, Diana Marculescu:
Process variability-aware transient fault modeling and analysis. ICCAD 2008: 685-690 - [c50]Kai-Chiang Wu, Diana Marculescu:
Power-aware soft error hardening via selective voltage scaling. ICCD 2008: 301-306 - 2007
- [j19]R. Iris Bahar, Dan W. Hammerstrom, Justin E. Harlow III, William H. Joyner Jr., Clifford Lau, Diana Marculescu, Alex Orailoglu, Massoud Pedram:
Architectures for Silicon Nanoelectronics and Beyond. Computer 40(1): 25-33 (2007) - [j18]Ümit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Puru Choudhary, Diana Marculescu, Michael Kaufman, Peter Nelson:
Challenges and Promising Results in NoC Prototyping Using FPGAs. IEEE Micro 27(5): 86-95 (2007) - [c49]Siddharth Garg, Diana Marculescu:
On the impact of manufacturing process variations on the lifetime of sensor networks. CODES+ISSS 2007: 203-208 - [c48]Ümit Y. Ogras, Radu Marculescu, Puru Choudhary, Diana Marculescu:
Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip. DAC 2007: 110-115 - [c47]Phillip Stanley-Marbell, Diana Marculescu:
An 0.9 × 1.2", low power, energy-harvesting system with custom multi-channel communication interface. DATE 2007: 15-20 - [c46]Siddharth Garg, Diana Marculescu:
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs. DATE 2007: 403-408 - [c45]Natasa Miskov-Zivanov, Diana Marculescu:
Soft error rate analysis for sequential circuits. DATE 2007: 1436-1441 - [c44]Phillip Stanley-Marbell, Diana Marculescu:
Sunflower : Full-System, Embedded Microarchitecture Evaluation. HiPEAC 2007: 168-182 - [c43]Sebastian Herbert, Diana Marculescu:
Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. ISLPED 2007: 38-43 - [c42]Natasa Miskov-Zivanov, Diana Marculescu:
MARS-S: Modeling and Reduction of Soft Errors in Sequential Circuits. ISQED 2007: 893-898 - [e2]Diana Marculescu, Anand Raghunathan, Ali Keshavarzi, Vijaykrishnan Narayanan:
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007. ACM 2007, ISBN 978-1-59593-709-4 [contents] - [i1]Diana Marculescu:
Energy Bounds for Fault-Tolerant Nanoscale Designs. CoRR abs/0710.4680 (2007) - 2006
- [j17]Natasa Miskov-Zivanov, Diana Marculescu:
Circuit Reliability Analysis Using Symbolic Techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12): 2638-2649 (2006) - [c41]Puru Choudhary, Diana Marculescu:
Hardware based frequency/voltage control of voltage frequency island systems. CODES+ISSS 2006: 34-39 - [c40]Natasa Miskov-Zivanov, Diana Marculescu:
MARS-C: modeling and reduction of soft errors in combinational circuits. DAC 2006: 767-772 - [c39]Diana Marculescu, Siddharth Garg:
System-level process-driven variability analysis for single and multiple voltage-frequency island systems. ICCAD 2006: 541-546 - [c38]Chan-Hao Chang, Diana Marculescu:
Design and Analysis of a Low Power VLIW DSP Core. ISVLSI 2006: 167-172 - [e1]Wolfgang Nebel, Mircea R. Stan, Anand Raghunathan, Jörg Henkel, Diana Marculescu:
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006. ACM 2006, ISBN 1-59593-462-6 [contents] - 2005
- [j16]Diana Marculescu, Emil Talpes:
Energy Awareness and Uncertainty in Microarchitecture-Level Design. IEEE Micro 25(5): 64-76 (2005) - [j15]Philip Koopman, Howie Choset, Rajeev Gandhi, Bruce H. Krogh, Diana Marculescu, Priya Narasimhan, JoAnn M. Paul, Ragunathan Rajkumar, Daniel P. Siewiorek, Asim Smailagic, Peter Steenkiste, Donald E. Thomas, Chenxi Wang:
Undergraduate embedded system education at Carnegie Mellon. ACM Trans. Embed. Comput. Syst. 4(3): 500-528 (2005) - [j14]Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu:
Dynamic Functional Unit Assignment for Low Power. J. Supercomput. 31(1): 47-62 (2005) - [j13]Emil Talpes, Diana Marculescu:
Execution cache-based microarchitecture for power-efficient superscalar processors. IEEE Trans. Very Large Scale Integr. Syst. 13(1): 14-26 (2005) - [j12]Emil Talpes, Diana Marculescu:
Toward a multiple clock/voltage island design style for power-aware processors. IEEE Trans. Very Large Scale Integr. Syst. 13(5): 591-603 (2005) - [c37]Koushik Niyogi, Diana Marculescu:
Speed and voltage selection for GALS systems based on voltage/frequency islands. ASP-DAC 2005: 292-297 - [c36]Diana Marculescu, Emil Talpes:
Variability and energy awareness: a microarchitecture-level perspective. DAC 2005: 11-16 - [c35]Diana Marculescu:
Energy Bounds for Fault-Tolerant Nanoscale Designs. DATE 2005: 74-79 - [c34]Emil Talpes, Diana Marculescu:
Increased Scalability and Power Efficiency by Using Multiple Speed Pipelines. ISCA 2005: 310-321 - [c33]Koushik Niyogi, Diana Marculescu:
System level power and performance modeling of GALS point-to-point communication interfaces. ISLPED 2005: 381-386 - 2004
- [c32]Venkata Syam P. Rapaka, Emil Talpes, Diana Marculescu:
Mixed-clock issue queue design for energy aware, high-performance cores. ASP-DAC 2004: 380-383 - [c31]Phillip Stanley-Marbell, Diana Marculescu:
Local Decisions and Triggering Mechanisms for Adaptive Fault-Tolerance. DATE 2004: 968-973 - [c30]Radu Marculescu, Diana Marculescu, Larry T. Pileggi:
Toward an Integrated Design Methodology for Fault-Tolerant, Multiple Clock/Voltage Integrated Systems. ICCD 2004: 168-173 - [c29]Emil Talpes, Diana Marculescu:
Impact of technology scaling on energy aware execution cache-based microarchitectures. ISLPED 2004: 50-53 - [c28]Diana Marculescu:
Application adaptive energy efficient clustered architectures. ISLPED 2004: 344-349 - 2003
- [j11]Pradip Bose, David H. Albonesi, Diana Marculescu:
Guest Editors' Introduction: Power and Complexity Aware Design. IEEE Micro 23(5): 8-11 (2003) - [j10]Diana Marculescu:
E-Textiles: Toward Computational Clothing. IEEE Pervasive Comput. 2(1): 89-95 (2003) - [j9]Diana Marculescu, Radu Marculescu, Nicholas H. Zamora, Phillip Stanley-Marbell, Pradeep K. Khosla, Sungmee Park, Sundaresan Jayaraman, Stefan Jung, L. Weber, K. Cottet, Janusz Grzyb, Gerhard Tröster, Mark Jones, Tom L. Martin, Zahi Nakad:
Electronic textiles: a platform for pervasive computing. Proc. IEEE 91(12): 1993-1994 (2003) - [j8]Diana Marculescu, Radu Marculescu, Nicholas H. Zamora, Phillip Stanley-Marbell, Pradeep K. Khosla, Sungmee Park, Sundaresan Jayaraman, Stefan Jung, Christel Lauterbach, Werner Weber, Tünde Kirstein, Didier Cottet, Janusz Grzyb, Gerhard Tröster, Mark Jones, Tom L. Martin, Zahi Nakad:
Electronic textiles: A platform for pervasive computing. Proc. IEEE 91(12): 1995-2018 (2003) - [j7]Phillip Stanley-Marbell, Diana Marculescu, Radu Marculescu, Pradeep K. Khosla:
Modeling, Analysis, and Self-Management of Electronic Textiles. IEEE Trans. Computers 52(8): 996-1010 (2003) - [c27]Menno Lindwer, Diana Marculescu, Twan Basten, Rainer Zimmermann, Radu Marculescu, Stefan Jung, Eugenio Cantatore:
Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts. DATE 2003: 10010-10017 - [c26]Venkata Syam P. Rapaka, Diana Marculescu:
Pre-Characterization Free, Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications. DATE 2003: 10504-10509 - [c25]Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu:
Dynamic Functional Unit Assignment for Low Power. DATE 2003: 11052-11057 - [c24]Diana Marculescu, Nicholas H. Zamora, Phillip Stanley-Marbell, Radu Marculescu:
Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems. ICCAD 2003: 348-355 - [c23]Phillip Stanley-Marbell, Diana Marculescu:
Dynamic Fault-Tolerance and Metrics for Battery Powered, Failure-Prone Systems. ICCAD 2003: 633-640 - [c22]Emil Talpes, Diana Marculescu:
A critical analysis of application-adaptive multiple clock processors. ISLPED 2003: 278-281 - [c21]Venkata Syam P. Rapaka, Diana Marculescu:
A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores. ISLPED 2003: 372-377 - [p2]Venkata Syam P. Rapaka, Diana Marculescu:
Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications. Embedded Software for SoC 2003: 289-303 - [p1]Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu:
Dynamic Functional Unit Assignment for Low Power. Embedded Software for SoC 2003: 485-497 - 2002
- [j6]Anoop Iyer, Diana Marculescu:
Microarchitecture-level power management. IEEE Trans. Very Large Scale Integr. Syst. 10(3): 230-239 (2002) - [c20]Diana Marculescu, Radu Marculescu, Pradeep K. Khosla:
Challenges and opportunities in electronic textiles modeling and optimization. DAC 2002: 175-180 - [c19]Anoop Iyer, Diana Marculescu:
Power efficiency of voltage scaling in multiple clock, multiple voltage cores. ICCAD 2002: 379-386 - [c18]Anoop Iyer, Diana Marculescu:
Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors. ISCA 2002: 158-168 - [c17]Radu Marculescu, Diana Marculescu:
Does Q=MC2? (On the Relationship between Quality in Electronic Design and the Model of Colloidal Computing, invited). ISQED 2002: 451-457 - 2001
- [c16]Anoop Iyer, Diana Marculescu:
Power aware microarchitecture resource scaling. DATE 2001: 190-196 - [c15]Diana Marculescu, Anoop Iyer:
Application-Driven Processor Design Exploration for Power-Performance Trade-off Analysis. ICCAD 2001: 306-313 - [c14]Emil Talpes, Diana Marculescu:
Power reduction through work reuse. ISLPED 2001: 340-345 - 2000
- [j5]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Stochastic sequential machine synthesis with application to constrained sequence generation. ACM Trans. Design Autom. Electr. Syst. 5(3): 658-681 (2000) - [j4]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Theoretical bounds for switching activity analysis in finite-state machines. IEEE Trans. Very Large Scale Integr. Syst. 8(3): 335-339 (2000) - [c13]Diana Marculescu:
Profile-driven code execution for low power dissipation (poster session). ISLPED 2000: 253-255
1990 – 1999
- 1999
- [j3]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Sequence compaction for power estimation: theory and practice. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(7): 973-993 (1999) - [c12]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Non-stationary effects in trace-driven power analysis. ISLPED 1999: 133-138 - 1998
- [j2]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Probabilistic modeling of dependencies during switching activity analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(2): 73-83 (1998) - [c11]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. DATE 1998: 774-779 - [c10]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Theoretical bounds for switching activity analysis in finite-state machines. ISLPED 1998: 36-41 - 1997
- [c9]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Adaptive models for input data compaction for power simulators. ASP-DAC 1997: 391-396 - [c8]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Sequence Compaction for Probabilistic Analysis of Finite-State Machines. DAC 1997: 12-15 - [c7]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Hierarchical Sequence Compaction for Power Estimation. DAC 1997: 570-575 - [c6]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Composite sequence compaction for finite-state machines using block entropy and high-order Markov models. ISLPED 1997: 190-195 - 1996
- [j1]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Information theoretic measures for power analysis [logic design]. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(6): 599-610 (1996) - [c5]Chi-Ying Tsui, Radu Marculescu, Diana Marculescu, Massoud Pedram:
Improving the Efficiency of Power Simulators by Input Vector Compaction. DAC 1996: 165-168 - [c4]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. DAC 1996: 696-701 - 1995
- [c3]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Efficient Power Estimation for Highly Correlated Input Streams. DAC 1995: 628-634 - [c2]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Information theoretic measures of energy consumption at register transfer level. ISLPD 1995: 81-86 - 1994
- [c1]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Switching activity analysis considering spatiotemporal correlations. ICCAD 1994: 294-299
Coauthor Index
aka: Ting-Wu (Rudy) Chin
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-15 20:35 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint