default search action
Margaret Martonosi
Person information
- affiliation: Princeton University, USA
- award (2023): ACM Frances E. Allen Award for Outstanding Mentoring
- award (2013): Anita Borg Institute Women of Vision Awards
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j67]Wei Tang, Margaret Martonosi:
Distributed Quantum Computing via Integrating Quantum and Classical Computing. Computer 57(4): 131-136 (2024) - [j66]Yuri Alexeev, Maximilian Amsler, Marco Antonio Barroca, Sanzio Bassini, Torey Battelle, Daan Camps, David Casanova, Young Jay Choi, Frederic T. Chong, Charles Chung, Christopher Codella, Antonio D. Córcoles, James Cruise, Alberto Di Meglio, Ivan Duran, Thomas Eckl, Sophia E. Economou, Stephan J. Eidenbenz, Bruce Elmegreen, Clyde Fare, Ismael Faro, Cristina Sanz Fernández, Rodrigo Neumann Barros Ferreira, Keisuke Fuji, Bryce Fuller, Laura Gagliardi, Giulia Galli, Jennifer R. Glick, Isacco Gobbi, Pranav Gokhale, Salvador de la Puente Gonzalez, Johannes Greiner, Bill Gropp, Michele Grossi, Emanuel Gull, Burns Healy, Matthew R. Hermes, Benchen Huang, Travis S. Humble, Nobuyasu Ito, Artur F. Izmaylov, Ali Javadi-Abhari, Douglas M. Jennewein, Shantenu Jha, Liang Jiang, Barbara Jones, Wibe Albert de Jong, Petar Jurcevic, William M. Kirby, Stefan Kister, Masahiro Kitagawa, Joel Klassen, Katherine Klymko, Kwangwon Koh, Masaaki Kondo, Doga Murat Kürkçüoglu, Krzysztof Kurowski, Teodoro Laino, Ryan Landfield, Matthew L. Leininger, Vicente Leyton-Ortega, Ang Li, Meifeng Lin, Junyu Liu, Nicolás Lorente, André Luckow, Simon Martiel, Francisco Martín-Fernández, Margaret Martonosi, Claire Marvinney, Arcesio Castañeda Medina, Dirk Merten, Antonio Mezzacapo, Kristel Michielsen, Abhishek Mitra, Tushar Mittal, Kyungsun Moon, Joel Moore, Sarah Mostame, Mario Motta, Young-Hye Na, Yunseong Nam, Prineha Narang, Yu-ya Ohnishi, Daniele Ottaviani, Matthew Otten, Scott Pakin, Vincent R. Pascuzzi, Edwin Pednault, Tomasz Piontek, Jed Pitera, Patrick Rall, Gokul Subramanian Ravi, Niall Robertson, Matteo A. C. Rossi, Piotr Rydlichowski, Hoon Ryu, Georgy Samsonidze, Mitsuhisa Sato, Nishant Saurabh, Vidushi Sharma, Kunal Sharma, Soyoung Shin, George Slessman, Mathias Steiner, Iskandar Sitdikov, In-Saeng Suh, Eric D. Switzer, Wei Tang, Joel Thompson, Synge Todo, Minh C. Tran, Dimitar Trenev, Christian Trott, Huan-Hsin Tseng, Norm M. Tubman, Esin Tureci, David García Valiñas, Sofia Vallecorsa, Christopher Wever, Konrad Wojciechowski, Xiaodi Wu, Shinjae Yoo, Nobuyuki Yoshioka, Victor Wen-zhe Yu, Seiji Yunoki, Sergiy Zhuk, Dmitry Zubarev:
Quantum-centric supercomputing for materials science: A perspective on challenges and future directions. Future Gener. Comput. Syst. 160: 666-710 (2024) - [c163]Marcelo Orenes-Vera, Esin Tureci, Margaret Martonosi, David Wentzlaff:
MuchiSim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems. ISPASS 2024: 48-60 - 2023
- [j65]Naorin Hossain, Alper Buyuktosunoglu, John-David Wellman, Pradip Bose, Margaret Martonosi:
SoCurity: A Design Approach for Enhancing SoC Security. IEEE Comput. Archit. Lett. 22(2): 105-108 (2023) - [j64]Aninda Manocha, Juan L. Aragón, Margaret Martonosi:
Graphfire: Synergizing Fetch, Insertion, and Replacement Policies for Graph Analytics. IEEE Trans. Computers 72(1): 291-304 (2023) - [c162]Fei Gao, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul J. Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca P. Carloni, David Wentzlaff:
DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET. CICC 2023: 1-2 - [c161]Marcelo Orenes-Vera, Esin Tureci, David Wentzlaff, Margaret Martonosi:
Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications. HPCA 2023: 718-730 - [c160]Samuel Alexander Stein, Sara Sussman, Teague Tomesh, Charles Guinn, Esin Tureci, Sophia Fuhui Lin, Wei Tang, James A. Ang, Srivatsan Chakram, Ang Li, Margaret Martonosi, Fred Chong, Andrew A. Houck, Isaac L. Chuang, Michael Austin DeMarco:
HetArch: Heterogeneous Microarchitectures for Superconducting Quantum Systems. MICRO 2023: 539-554 - [c159]Marcelo Orenes-Vera, Hyunsung Yun, Nils Wistoff, Gernot Heiser, Luca Benini, David Wentzlaff, Margaret Martonosi:
AutoCC: Automatic Discovery of Covert Channels in Time-Shared Hardware. MICRO 2023: 871-885 - [c158]Aninda Manocha, Zi Yan, Esin Tureci, Juan L. Aragón, David W. Nellans, Margaret Martonosi:
Architectural Support for Optimizing Huge Page Selection Within the OS. MICRO 2023: 1213-1226 - [c157]Teague Tomesh, Zain H. Saleem, Michael A. Perlin, Pranav Gokhale, Martin Suchara, Margaret Martonosi:
Divide and Conquer for Combinatorial Optimization and Distributed Quantum Computation. QCE 2023: 1-12 - [i33]Marcelo Orenes-Vera, Esin Tureci, David Wentzlaff, Margaret Martonosi:
Massive Data-Centric Parallelism in the Chiplet Era. CoRR abs/2304.09389 (2023) - [i32]Samuel Alexander Stein, Sara Sussman, Teague Tomesh, Charles Guinn, Esin Tureci, Sophia Fuhui Lin, Wei Tang, James A. Ang, Srivatsan Chakram, Ang Li, Margaret Martonosi, Frederic T. Chong, Andrew A. Houck, Isaac L. Chuang, Michael Austin DeMarco:
Microarchitectures for Heterogeneous Superconducting Quantum Computers. CoRR abs/2305.03243 (2023) - [i31]Marcelo Orenes-Vera, Margaret Martonosi, David Wentzlaff:
Using LLMs to Facilitate Formal Verification of RTL. CoRR abs/2309.09437 (2023) - [i30]Marcelo Orenes-Vera, Esin Tureci, Margaret Martonosi, David Wentzlaff:
DCRA: A Distributed Chiplet-based Reconfigurable Architecture for Irregular Applications. CoRR abs/2311.15443 (2023) - [i29]Marcelo Orenes-Vera, Esin Tureci, David Wentzlaff, Margaret Martonosi:
Tascade: Hardware Support for Atomic-free, Asynchronous and Efficient Reduction Trees. CoRR abs/2311.15810 (2023) - [i28]Marcelo Orenes-Vera, Esin Tureci, Margaret Martonosi, David Wentzlaff:
Muchisim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems. CoRR abs/2312.10244 (2023) - 2022
- [j63]Prakash Murali, Dripto M. Debroy, Kenneth R. Brown, Margaret Martonosi:
Toward systematic architectural design of near-term trapped ion quantum computers. Commun. ACM 65(3): 101-109 (2022) - [j62]Manish Parashar, Amy Friedlander, Erwin P. Gianchandani, Margaret Martonosi:
Transforming science through cyberinfrastructure. Commun. ACM 65(8): 30-32 (2022) - [c156]Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N. Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret Martonosi, Frederic T. Chong:
SupermarQ: A Scalable Quantum Benchmark Suite. HPCA 2022: 587-603 - [c155]Aninda Manocha, Zi Yan, Esin Tureci, Juan L. Aragón, David W. Nellans, Margaret Martonosi:
The Implications of Page Size Management on Graph Analytics. IISWC 2022: 199-214 - [c154]Marcelo Orenes-Vera, Aninda Manocha, Jonathan Balkind, Fei Gao, Juan L. Aragón, David Wentzlaff, Margaret Martonosi:
Tiny but mighty: designing and realizing scalable latency tolerance for manycore SoCs. ISCA 2022: 817-830 - [c153]Margaret Martonosi:
Margaret Martonosi, National Science Foundation. USENIX ATC 2022 - [d1]Aninda Manocha, Zi Yan, Esin Tureci, Juan Luis Aragón, David W. Nellans, Margaret Martonosi:
The Implications of Page Size Management on Graph Analytics. Zenodo, 2022 - [i27]Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N. Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret Martonosi, Frederic T. Chong:
SupermarQ: A Scalable Quantum Benchmark Suite. CoRR abs/2202.11045 (2022) - [i26]Teague Tomesh, Kaiwen Gui, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara:
Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems. CoRR abs/2203.12713 (2022) - [i25]Wei Tang, Margaret Martonosi:
Cutting Quantum Circuits to Run on Quantum and Classical Platforms. CoRR abs/2205.05836 (2022) - [i24]Wei Tang, Margaret Martonosi:
ScaleQC: A Scalable Framework for Hybrid Computation on Quantum and Classical Processors. CoRR abs/2207.00933 (2022) - [i23]Marcelo Orenes-Vera, Esin Tureci, David Wentzlaff, Margaret Martonosi:
Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications. CoRR abs/2207.13219 (2022) - 2021
- [j61]Teague Tomesh, Margaret Martonosi:
Quantum Codesign. IEEE Micro 41(5): 33-40 (2021) - [j60]Anindya Banerjee, Sankar Basu, Erik Brunvand, Pinaki Mazumder, Rance Cleaveland, Gurdip Singh, Margaret Martonosi, Fernanda Pembleton:
Navigating the Seismic Shift of Post-Moore Computer Systems Design. IEEE Micro 41(6): 162-167 (2021) - [j59]Tyler Sorensen, Lucas F. Salvador, Harmit Raval, Hugues Evrard, John Wickerson, Margaret Martonosi, Alastair F. Donaldson:
Specifying and testing GPU workgroup progress models. Proc. ACM Program. Lang. 5(OOPSLA): 1-30 (2021) - [j58]Aninda Manocha, Tyler Sorensen, Esin Tureci, Opeoluwa Matthews, Juan L. Aragón, Margaret Martonosi:
GraphAttack: Optimizing Data Supply for Graph Applications on In-Order Multicore Architectures. ACM Trans. Archit. Code Optim. 18(4): 53:1-53:26 (2021) - [c152]Yipeng Huang, Steven Holtzen, Todd D. Millstein, Guy Van den Broeck, Margaret Martonosi:
Logical abstractions for noisy variational Quantum algorithm simulation. ASPLOS 2021: 456-472 - [c151]Wei Tang, Teague Tomesh, Martin Suchara, Jeffrey Larson, Margaret Martonosi:
CutQC: using small Quantum computers for large Quantum circuit evaluations. ASPLOS 2021: 473-486 - [c150]Marcelo Orenes-Vera, Aninda Manocha, David Wentzlaff, Margaret Martonosi:
AutoSVA: Democratizing Formal Verification of RTL Module Interactions. DAC 2021: 535-540 - [c149]Teague Tomesh, Kaiwen Gui, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara:
Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems. ICRC 2021: 1-13 - [c148]Lingling Lao, Prakash Murali, Margaret Martonosi, Dan E. Browne:
Designing Calibration and Expressivity-Efficient Instruction Sets for Quantum Computing. ISCA 2021: 846-859 - [c147]Guy E. Blelloch, William J. Dally, Margaret Martonosi, Uzi Vishkin, Katherine A. Yelick:
SPAA'21 Panel Paper: Architecture-Friendly Algorithms versus Algorithm-Friendly Architectures. SPAA 2021: 1-7 - [i22]Yipeng Huang, Steven Holtzen, Todd D. Millstein, Guy Van den Broeck, Margaret Martonosi:
Logical Abstractions for Noisy Variational Quantum Algorithm Simulation. CoRR abs/2103.17226 (2021) - [i21]Marcelo Orenes-Vera, Aninda Manocha, David Wentzlaff, Margaret Martonosi:
AutoSVA: Democratizing Formal Verification of RTL Module Interactions. CoRR abs/2104.04003 (2021) - [i20]Prakash Murali, Lingling Lao, Margaret Martonosi, Dan E. Browne:
Designing calibration and expressivity-efficient instruction sets for quantum computing. CoRR abs/2106.15490 (2021) - [i19]Tyler Sorensen, Lucas F. Salvador, Harmit Raval, Hugues Evrard, John Wickerson, Margaret Martonosi, Alastair F. Donaldson:
Specifying and Testing GPU Workgroup Progress Models. CoRR abs/2109.06132 (2021) - 2020
- [j57]Prakash Murali, Norbert Matthias Linke, Margaret Martonosi, Ali JavadiAbhari, Nhung Hong Nguyen, Cinthia Huerta Alderete:
Architecting Noisy Intermediate-Scale Quantum Computers: A Real-System Study. IEEE Micro 40(3): 73-80 (2020) - [j56]Jake Kirkham, Tyler Sorensen, Esin Tureci, Margaret Martonosi:
Foundations of empirical memory consistency testing. Proc. ACM Program. Lang. 4(OOPSLA): 226:1-226:29 (2020) - [j55]Yunong Shi, Pranav Gokhale, Prakash Murali, Jonathan M. Baker, Casey Duckering, Yongshan Ding, Natalie C. Brown, Christopher Chamberland, Ali Javadi-Abhari, Andrew W. Cross, David I. Schuster, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong:
Resource-Efficient Quantum Computing by Breaking Abstractions. Proc. IEEE 108(8): 1353-1370 (2020) - [c146]Prakash Murali, David C. McKay, Margaret Martonosi, Ali Javadi-Abhari:
Software Mitigation of Crosstalk on Noisy Intermediate-Scale Quantum Computers. ASPLOS 2020: 1001-1016 - [c145]Tyler Sorensen, Aninda Manocha, Esin Tureci, Marcelo Orenes-Vera, Juan L. Aragón, Margaret Martonosi:
A Simulator and Compiler Framework for Agile Hardware-Software Co-design Evaluation and Exploration. ICCAD 2020: 97:1-97:9 - [c144]Henry A. Gabb, Andrew Lumsdaine, Margaret Martonosi, Arnold L. Rosenberg, Martina Barnas:
EduPar-20 Invited Panel. IPDPS Workshops 2020: 251 - [c143]Prakash Murali, Dripto M. Debroy, Kenneth R. Brown, Margaret Martonosi:
Architecting Noisy Intermediate-Scale Trapped Ion Quantum Computers. ISCA 2020: 529-542 - [c142]Yongshan Ding, Xin-Chuan Wu, Adam Holmes, Ash Wiseth, Diana Franklin, Margaret Martonosi, Frederic T. Chong:
SQUARE: Strategic Quantum Ancilla Reuse for Modular Quantum Programs via Cost-Effective Uncomputation. ISCA 2020: 570-583 - [c141]Naorin Hossain, Caroline Trippel, Margaret Martonosi:
TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests. ISCA 2020: 874-887 - [c140]Opeoluwa Matthews, Aninda Manocha, Davide Giri, Marcelo Orenes-Vera, Esin Tureci, Tyler Sorensen, Tae Jun Ham, Juan L. Aragón, Luca P. Carloni, Margaret Martonosi:
MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems. ISPASS 2020: 136-148 - [c139]Themis Melissaris, Markos Markakis, Kelly A. Shaw, Margaret Martonosi:
PerpLE: Improving the Speed and Effectiveness of Memory Consistency Testing. MICRO 2020: 329-341 - [c138]Pranav Gokhale, Olivia Angiuli, Yongshan Ding, Kaiwen Gui, Teague Tomesh, Martin Suchara, Margaret Martonosi, Frederic T. Chong:
Optimization of Simultaneous Measurement for Variational Quantum Eigensolver Applications. QCE 2020: 379-390 - [i18]Prakash Murali, David C. McKay, Margaret Martonosi, Ali Javadi-Abhari:
Software Mitigation of Crosstalk on Noisy Intermediate-Scale Quantum Computers. CoRR abs/2001.02826 (2020) - [i17]Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi:
RealityCheck: Bringing Modularity, Hierarchy, and Abstraction to Automated Microarchitectural Memory Consistency Verification. CoRR abs/2003.04892 (2020) - [i16]Opeoluwa Matthews, Aninda Manocha, Davide Giri, Marcelo Orenes-Vera, Esin Tureci, Tyler Sorensen, Tae Jun Ham, Juan L. Aragón, Luca P. Carloni, Margaret Martonosi:
The MosaicSim Simulator (Full Technical Report). CoRR abs/2004.07415 (2020) - [i15]Naorin Hossain, Caroline Trippel, Margaret Martonosi:
TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests. CoRR abs/2008.03578 (2020) - [i14]Yunong Shi, Pranav Gokhale, Prakash Murali, Jonathan M. Baker, Casey Duckering, Yongshan Ding, Natalie C. Brown, Christopher Chamberland, Ali Javadi-Abhari, Andrew W. Cross, David I. Schuster, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong:
Resource-Efficient Quantum Computing by Breaking Abstractions. CoRR abs/2011.00028 (2020) - [i13]Wei Tang, Teague Tomesh, Jeffrey Larson, Martin Suchara, Margaret Martonosi:
CutQC: Using Small Quantum Computers for Large Quantum Circuit Evaluations. CoRR abs/2012.02333 (2020) - [i12]Themis Melissaris, Kelly A. Shaw, Margaret Martonosi:
Optimizing IoT and Web Traffic Using Selective Edge Compression. CoRR abs/2012.14968 (2020)
2010 – 2019
- 2019
- [j54]Prakash Murali, Ali Javadi-Abhari, Frederic T. Chong, Margaret Martonosi:
Formal constraint-based compilation for noisy intermediate-scale quantum systems. Microprocess. Microsystems 66: 102-112 (2019) - [j53]Adam Holmes, Yongshan Ding, Ali Javadi-Abhari, Diana Franklin, Margaret Martonosi, Frederic T. Chong:
Resource optimized quantum architectures for surface code implementations of magic-state distillation. Microprocess. Microsystems 67: 56-70 (2019) - [j52]Caroline Trippel, Daniel Lustig, Margaret Martonosi:
Security Verification via Automatic Hardware-Aware Exploit Synthesis: The CheckMate Approach. IEEE Micro 39(3): 84-93 (2019) - [j51]Tae Jun Ham, Juan L. Aragón, Margaret Martonosi:
Efficient Data Supply for Parallel Heterogeneous Architectures. ACM Trans. Archit. Code Optim. 16(2): 9:1-9:23 (2019) - [c137]Prakash Murali, Jonathan M. Baker, Ali Javadi-Abhari, Frederic T. Chong, Margaret Martonosi:
Noise-Adaptive Compiler Mappings for Noisy Intermediate-Scale Quantum Computers. ASPLOS 2019: 1015-1029 - [c136]Themis Melissaris, Kelly A. Shaw, Margaret Martonosi:
OKAPI: In Support of Application Correctness in Smart Home Environments. FMEC 2019: 173-180 - [c135]Prakash Murali, Norbert Matthias Linke, Margaret Martonosi, Ali Javadi-Abhari, Nhung Hong Nguyen, Cinthia Huerta Alderete:
Full-stack, real-system quantum computer studies: architectural comparisons and design insights. ISCA 2019: 527-540 - [c134]Yipeng Huang, Margaret Martonosi:
Statistical assertions for validating patterns and finding bugs in quantum programs. ISCA 2019: 541-553 - [i11]Prakash Murali, Jonathan M. Baker, Ali Javadi-Abhari, Frederic T. Chong, Margaret Martonosi:
Noise-Adaptive Compiler Mappings for Noisy Intermediate-Scale Quantum Computers. CoRR abs/1901.11054 (2019) - [i10]Prakash Murali, Ali Javadi-Abhari, Frederic T. Chong, Margaret Martonosi:
Formal Constraint-based Compilation for Noisy Intermediate-Scale Quantum Systems. CoRR abs/1903.03276 (2019) - [i9]Margaret Martonosi, Martin Roetteler:
Next Steps in Quantum Computing: Computer Science's Role. CoRR abs/1903.10541 (2019) - [i8]Yipeng Huang, Margaret Martonosi:
Statistical Assertions for Validating Patterns and Finding Bugs in Quantum Programs. CoRR abs/1905.09721 (2019) - 2018
- [j50]Francine Berman, Rob A. Rutenbar, Brent Hailpern, Henrik I. Christensen, Susan B. Davidson, Deborah Estrin, Michael J. Franklin, Margaret Martonosi, Padma Raghavan, Victoria Stodden, Alexander S. Szalay:
Realizing the potential of data science. Commun. ACM 61(4): 67-72 (2018) - [j49]Margaret Martonosi:
Science, policy, and service. Commun. ACM 61(5): 46-48 (2018) - [j48]Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi:
Full-Stack Memory Model Verification with TriCheck. IEEE Micro 38(3): 58-68 (2018) - [j47]Erik Russell, Julia Hirschberg, Margaret Martonosi:
Broadening participation: CRA-W. ACM SIGCSE Bull. 50(2): 12 (2018) - [j46]Margaret Martonosi:
2018 ACM SIGMOBILE Rockstar Award: Kyle Jamieson, Princeton University. GetMobile Mob. Comput. Commun. 22(2): 15 (2018) - [c133]Hongce Zhang, Caroline Trippel, Yatin A. Manerkar, Aarti Gupta, Margaret Martonosi, Sharad Malik:
ILA-MCM: Integrating Memory Consistency Models with Instruction-Level Abstractions for Heterogeneous System-on-Chip Verification. FMCAD 2018: 1-10 - [c132]Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, Aarti Gupta:
PipeProof: Automated Memory Consistency Proofs for Microarchitectural Specifications. MICRO 2018: 788-801 - [c131]Yongshan Ding, Adam Holmes, Ali Javadi-Abhari, Diana Franklin, Margaret Martonosi, Frederic T. Chong:
Magic-State Functional Units: Mapping and Scheduling Multi-Level Distillation Circuits for Fault-Tolerant Quantum Architectures. MICRO 2018: 828-840 - [c130]Caroline Trippel, Daniel Lustig, Margaret Martonosi:
CheckMate: Automated Synthesis of Hardware Exploits and Security Litmus Tests. MICRO 2018: 947-960 - [c129]Yipeng Huang, Margaret Martonosi:
QDB: From Quantum Algorithms Towards Correct Quantum Programs. PLATEAU@SPLASH 2018: 4:1-4:14 - [c128]Margaret Martonosi:
New Metrics and Models for a Post-ISA Era: Managing Complexity and Scaling Performance in Heterogeneous Parallelism and Internet-of-Things. SIGMETRICS (Abstracts) 2018: 20 - [i7]Caroline Trippel, Daniel Lustig, Margaret Martonosi:
MeltdownPrime and SpectrePrime: Automatically-Synthesized Attacks Exploiting Invalidation-Based Coherence Protocols. CoRR abs/1802.03802 (2018) - [i6]Yongshan Ding, Adam Holmes, Ali JavadiAbhari, Diana Franklin, Margaret Martonosi, Frederic T. Chong:
Magic-State Functional Units: Mapping and Scheduling Multi-Level Distillation Circuits for Fault-Tolerant Quantum Architectures. CoRR abs/1809.01302 (2018) - [i5]Yipeng Huang, Margaret Martonosi:
QDB: From Quantum Algorithms Towards Correct Quantum Programs. CoRR abs/1811.05447 (2018) - 2017
- [j45]Pareesa Ameneh Golnari, Yavuz Yetim, Margaret Martonosi, Yakir Vizel, Sharad Malik:
PPU: A Control Error-Tolerant Processor for Streaming Applications with Formal Guarantees. ACM J. Emerg. Technol. Comput. Syst. 13(3): 43:1-43:29 (2017) - [j44]Margaret Martonosi:
2016 Maurice Wilkes Award Given to Timothy Sherwood. IEEE Micro 37(2): 104-105 (2017) - [j43]Daniel Lustig, Geet Sethi, Abhishek Bhattacharjee, Margaret Martonosi:
Transistency Models: Memory Ordering at the Hardware-OS Interface. IEEE Micro 37(3): 88-97 (2017) - [j42]Frederic T. Chong, Diana Franklin, Margaret Martonosi:
Programming languages and compiler design for realistic quantum hardware. Nat. 549(7671): 180-187 (2017) - [j41]Tae Jun Ham, Juan L. Aragón, Margaret Martonosi:
Decoupling Data Supply from Computation for Latency-Tolerant Communication in Heterogeneous Architectures. ACM Trans. Archit. Code Optim. 14(2): 16:1-16:27 (2017) - [c127]Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi:
TriCheck: Memory Model Verification at the Trisection of Software, Hardware, and ISA. ASPLOS 2017: 119-133 - [c126]Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, Michael Pellauer:
RTLcheck: verifying the memory consistency of RTL designs. MICRO 2017: 463-476 - [c125]Ali JavadiAbhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong:
Optimized surface code communication in superconducting quantum computers. MICRO 2017: 692-705 - [c124]Themis Melissaris, Kelly A. Shaw, Margaret Martonosi:
Locomotive: Optimizing mobile web traffic using selective compression. WoWMoM 2017: 1-4 - 2016
- [j40]Margaret Martonosi:
MOBILE SENSING: Retrospectives and Trends. GetMobile Mob. Comput. Commun. 20(1): 14-19 (2016) - [c123]Daniel Lustig, Geet Sethi, Margaret Martonosi, Abhishek Bhattacharjee:
COATCheck: Verifying Memory Ordering at the Hardware-OS Interface. ASPLOS 2016: 233-247 - [c122]Margaret Martonosi:
Keynotes: Internet of Things: History and hype, technology and policy. MICRO 2016: 1-2 - [c121]Tae Jun Ham, Lisa Wu, Narayanan Sundaram, Nadathur Satish, Margaret Martonosi:
Graphicionado: A high-performance and energy-efficient accelerator for graph analytics. MICRO 2016: 56:1-56:13 - [i4]Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi:
Exploring the Trisection of Software, Hardware, and ISA in Memory Model Design. CoRR abs/1608.07547 (2016) - [i3]Mark D. Hill, Sarita V. Adve, Luis Ceze, Mary Jane Irwin, David R. Kaeli, Margaret Martonosi, Josep Torrellas, Thomas F. Wenisch, David A. Wood, Katherine A. Yelick:
21st Century Computer Architecture. CoRR abs/1609.06756 (2016) - [i2]Yatin A. Manerkar, Caroline Trippel, Daniel Lustig, Michael Pellauer, Margaret Martonosi:
Counterexamples and Proof Loophole for the C/C++ to POWER and ARMv7 Trailing-Sync Compiler Mappings. CoRR abs/1611.01507 (2016) - 2015
- [j39]Daniel Lustig, Michael Pellauer, Margaret Martonosi:
Verifying Correct Microarchitectural Enforcement of Memory Consistency Models. IEEE Micro 35(3): 72-82 (2015) - [j38]Ali JavadiAbhari, Shruti Patil, Daniel Kudrow, Jeff Heckey, Alexey Lvov, Frederic T. Chong, Margaret Martonosi:
ScaffCC: Scalable compilation and analysis of quantum programs. Parallel Comput. 45: 2-17 (2015) - [j37]Wenhao Jia, Elba Garza, Kelly A. Shaw, Margaret Martonosi:
GPU Performance and Power Tuning Using Regression Trees. ACM Trans. Archit. Code Optim. 12(2): 13:1-13:26 (2015) - [c120]Yavuz Yetim, Sharad Malik, Margaret Martonosi:
CommGuard: Mitigating Communication Errors in Error-Prone Parallel Execution. ASPLOS 2015: 311-323 - [c119]Jeff Heckey, Shruti Patil, Ali JavadiAbhari, Adam Holmes, Daniel Kudrow, Kenneth R. Brown, Diana Franklin, Frederic T. Chong, Margaret Martonosi:
Compiler Management of Communication and Parallelism for Quantum Computation. ASPLOS 2015: 445-456 - [c118]Ozlem Bilgir Yetim, Margaret Martonosi:
Dynamic adaptive techniques for learning application delay tolerance for mobile data offloading. INFOCOM 2015: 1885-1893 - [c117]Daniel Lustig, Caroline Trippel, Michael Pellauer, Margaret Martonosi:
ArMOR: defending against memory consistency model mismatches in heterogeneous architectures. ISCA 2015: 388-400 - [c116]Keitaro Oka, Wenhao Jia, Margaret Martonosi, Koji Inoue:
Characterization and cross-platform analysis of high-throughput accelerators. ISPASS 2015: 161-162 - [c115]Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi:
CCICheck: using µhb graphs to verify the coherence-consistency interface. MICRO 2015: 26-37 - [c114]Tae Jun Ham, Juan L. Aragón, Margaret Martonosi:
DeSC: decoupled supply-compute communication management for heterogeneous architectures. MICRO 2015: 191-203 - [i1]Ali JavadiAbhari, Shruti Patil, Daniel Kudrow, Jeff Heckey, Alexey Lvov, Frederic T. Chong, Margaret Martonosi:
ScaffCC: Scalable Compilation and Analysis of Quantum Programs. CoRR abs/1507.01902 (2015) - 2014
- [b2]Magnus Själander, Margaret Martonosi, Stefanos Kaxiras:
Power-Efficient Computer Architectures: Recent Advances. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2014, ISBN 978-3-031-00617-3 - [j36]Margaret Martonosi:
2013 International Symposium on Computer Architecture Influential Paper Award. IEEE Micro 34(1): 91-92 (2014) - [c113]Ali JavadiAbhari, Shruti Patil, Daniel Kudrow, Jeff Heckey, Alexey Lvov, Frederic T. Chong, Margaret Martonosi:
ScaffCC: a framework for compilation and analysis of quantum computing programs. Conf. Computing Frontiers 2014: 1:1-1:10 - [c112]Wenhao Jia, Kelly A. Shaw, Margaret Martonosi:
MRPB: Memory request prioritization for massively parallel processors. HPCA 2014: 272-283 - [c111]Shruti Patil, Ali JavadiAbhari, Chen-Fu Chiang, Jeff Heckey, Margaret Martonosi, Frederic T. Chong:
Characterizing the performance effect of trials and rotations in applications that use Quantum Phase Estimation. IISWC 2014: 181-190 - [c110]Daniel Lustig, Michael Pellauer, Margaret Martonosi:
Pipe Check: Specifying and Verifying Microarchitectural Enforcement of Memory Consistency Models. MICRO 2014: 635-646 - [c109]Ozlem Bilgir Yetim, Margaret Martonosi:
Adaptive delay-tolerant scheduling for efficient cellular and WiFi usage. WoWMoM 2014: 1-7 - 2013
- [j35]Richard A. Becker, Ramón Cáceres, Karrie J. Hanson, Sibren Isaacman, Ji Meng Loh, Margaret Martonosi, James Rowland, Simon Urbanek, Alexander Varshavsky, Chris Volinsky:
Human mobility characterization from cellular network data. Commun. ACM 56(1): 74-82 (2013) - [j34]Daniel Lustig, Abhishek Bhattacharjee, Margaret Martonosi:
TLB Improvements for Chip Multiprocessors: Inter-Core Cooperative Prefetchers and Shared Last-Level TLBs. ACM Trans. Archit. Code Optim. 10(1): 2:1-2:38 (2013) - [c108]Wenhao Jia, Kelly A. Shaw, Margaret Martonosi:
Starchart: Hardware and software optimization using recursive partitioning regression trees. PACT 2013: 257-267 - [c107]Darakhshan J. Mir, Sibren Isaacman, Ramón Cáceres, Margaret Martonosi, Rebecca N. Wright:
DP-WHERE: Differentially private modeling of human mobility. IEEE BigData 2013: 580-588 - [c106]Yavuz Yetim, Margaret Martonosi, Sharad Malik:
Extracting useful computation from error-prone processors for streaming applications. DATE 2013: 202-207 - [c105]Daniel Lustig, Margaret Martonosi:
Reducing GPU offload latency via fine-grained CPU-GPU synchronization. HPCA 2013: 354-365 - [e7]William D. Tucker, Antoine B. Bagula, Margaret Martonosi, Bhaskaran Raman:
Annual Symposium on Computing for Development, ACM DEV-4, Cape Town, South Africa - December 06 - 07, 2013. ACM 2013, ISBN 978-1-4503-2558-5 [contents] - 2012
- [j33]Emmanouil Koukoumidis, Margaret Martonosi, Li-Shiuan Peh:
Leveraging Smartphone Cameras for Collaborative Road Advisories. IEEE Trans. Mob. Comput. 11(5): 707-723 (2012) - [c104]Yavuz Yetim, Sharad Malik, Margaret Martonosi:
EPROF: An energy/performance/reliability optimization framework for streaming applications. ASP-DAC 2012: 769-774 - [c103]Wenhao Jia, Kelly A. Shaw, Margaret Martonosi:
Characterizing and improving the use of demand-fetched caches in GPUs. ICS 2012: 15-24 - [c102]Maja Etinski, Margaret Martonosi, Kien Le, Ricardo Bianchini, Thu D. Nguyen:
Optimizing the use of request distribution and stored energy for cost reduction in multi-site internet services. SustainIT 2012: 1-10 - [c101]Wenhao Jia, Kelly A. Shaw, Margaret Martonosi:
Stargazer: Automated regression-based GPU design space exploration. ISPASS 2012: 2-13 - [c100]Margaret Martonosi:
Keynote: Parallelism, heterogeneity, communication: Emerging challenges for performance analysis. ISPASS 2012: 124 - [c99]Ozlem Bilgir Yetim, Margaret Martonosi:
Adaptive usage of cellular and WiFi bandwidth: an optimal scheduling formulation. CHANTS@MobiCom 2012: 69-72 - [c98]Sibren Isaacman, Richard A. Becker, Ramón Cáceres, Margaret Martonosi, James Rowland, Alexander Varshavsky, Walter Willinger:
Human mobility modeling at metropolitan scales. MobiSys 2012: 239-252 - 2011
- [j32]Carole-Jean Wu, Margaret Martonosi:
Adaptive timekeeping replacement: Fine-grained capacity management for shared CMP caches. ACM Trans. Archit. Code Optim. 8(1): 3:1-3:26 (2011) - [j31]Abhishek Bhattacharjee, Gilberto Contreras, Margaret Martonosi:
Parallelization libraries: Characterizing and reducing overheads. ACM Trans. Archit. Code Optim. 8(1): 5:1-5:29 (2011) - [c97]Sibren Isaacman, Stratis Ioannidis, Augustin Chaintreau, Margaret Martonosi:
Distributed collaborative filtering over social networks. Allerton 2011: 1136-1142 - [c96]Abhishek Bhattacharjee, Daniel Lustig, Margaret Martonosi:
Shared last-level TLBs for chip multiprocessors. HPCA 2011: 62-63 - [c95]Carole-Jean Wu, Margaret Martonosi:
Characterization and dynamic mitigation of intra-application cache interference. ISPASS 2011: 2-11 - [c94]Carole-Jean Wu, Aamer Jaleel, William Hasenplaugh, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer:
SHiP: signature-based hit predictor for high performance caching. MICRO 2011: 430-441 - [c93]Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer:
PACMan: prefetch-aware cache management for high performance caching. MICRO 2011: 442-453 - [c92]Emmanouil Koukoumidis, Li-Shiuan Peh, Margaret Martonosi:
SignalGuru: leveraging mobile phones for collaborative traffic signal schedule advisory. MobiSys 2011: 127-140 - [c91]Emmanouil Koukoumidis, Li-Shiuan Peh, Margaret Martonosi:
Demo: SignalGuru: leveraging mobile phones for collaborative traffic signal schedule advisory. MobiSys 2011: 353-354 - [c90]Sibren Isaacman, Richard A. Becker, Ramón Cáceres, Stephen G. Kobourov, Margaret Martonosi, James Rowland, Alexander Varshavsky:
Ranges of human mobility in Los Angeles and New York. PerCom Workshops 2011: 88-93 - [c89]Emmanouil Koukoumidis, Li-Shiuan Peh, Margaret Martonosi:
RegReS: Adaptively maintaining a target density of regional services in opportunistic vehicular networks. PerCom 2011: 120-127 - [c88]Sibren Isaacman, Richard A. Becker, Ramón Cáceres, Stephen G. Kobourov, Margaret Martonosi, James Rowland, Alexander Varshavsky:
Identifying Important Places in People's Lives from Cellular Network Data. Pervasive 2011: 133-151 - [c87]Sibren Isaacman, Stratis Ioannidis, Augustin Chaintreau, Margaret Martonosi:
Distributed rating prediction in user generated content streams. RecSys 2011: 69-76 - [c86]Sibren Isaacman, Margaret Martonosi:
Low-infrastructure methods to improve internet access for mobile users in emerging regions. WWW (Companion Volume) 2011: 473-482 - [e6]Manolis Katevenis, Margaret Martonosi, Christos Kozyrakis, Olivier Temam:
High Performance Embedded Architectures and Compilers, 6th International Conference, HiPEAC 2011, Heraklion, Crete, Greece, January 24-26, 2011. Proceedings. ACM 2011, ISBN 978-1-4503-0241-8 [contents] - 2010
- [j30]Pei Zhang, Margaret Martonosi:
CA-TSL: Energy Adaptation for Targeted System Lifetime in Sparse Mobile Ad Hoc Networks. IEEE Trans. Mob. Comput. 9(12): 1794-1808 (2010) - [c85]Pradip Hari, John B. P. McCabe, Jonathan Banafato, Marcus Henry, Kevin Ko, Emmanouil Koukoumidis, Ulrich Kremer, Margaret Martonosi, Li-Shiuan Peh:
Adaptive spatiotemporal node selection in dynamic networks. PACT 2010: 227-236 - [c84]Abhishek Bhattacharjee, Margaret Martonosi:
Inter-core cooperative TLB for chip multiprocessors. ASPLOS 2010: 359-370 - [c83]Kien Le, Ricardo Bianchini, Thu D. Nguyen, Ozlem Bilgir, Margaret Martonosi:
Capping the brown energy consumption of Internet services at low cost. Green Computing Conference 2010: 3-14 - [c82]Kien Le, Ozlem Bilgir, Ricardo Bianchini, Margaret Martonosi, Thu D. Nguyen:
Managing the cost, energy consumption, and carbon footprint of internet services. SIGMETRICS 2010: 357-358
2000 – 2009
- 2009
- [j29]Vincent Lenders, Margaret Martonosi:
Repeatable and Realistic Experimentation in Mobile Wireless Networks. IEEE Trans. Mob. Comput. 8(12): 1718-1728 (2009) - [c81]Abhishek Bhattacharjee, Margaret Martonosi:
Characterizing the TLB Behavior of Emerging Parallel Workloads on Chip Multiprocessors. PACT 2009: 29-40 - [c80]Abhishek Bhattacharjee, Margaret Martonosi:
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. ISCA 2009: 290-301 - [e5]André Seznec, Joel S. Emer, Michael F. P. O'Boyle, Margaret Martonosi, Theo Ungerer:
High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings. Lecture Notes in Computer Science 5409, Springer 2009, ISBN 978-3-540-92989-5 [contents] - [e4]David H. Albonesi, Margaret Martonosi, David I. August, José F. Martínez:
42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA. ACM 2009, ISBN 978-1-60558-798-1 [contents] - 2008
- [b1]Stefanos Kaxiras, Margaret Martonosi:
Computer Architecture Techniques for Power-Efficiency. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2008, ISBN 978-3-031-00593-0 - [c79]Margaret Martonosi:
ZebraNet and beyond: applications and systems support for mobile, dynamic networks. CASES 2008: 21 - [c78]Gilberto Contreras, Margaret Martonosi:
Characterizing and improving the performance of Intel Threading Building Blocks. IISWC 2008: 57-66 - [c77]Pei Zhang, Margaret Martonosi:
LOCALE: Collaborative Localization Estimation for Sparse Mobile Sensor Networks. IPSN 2008: 195-206 - [c76]Abhishek Bhattacharjee, Gilberto Contreras, Margaret Martonosi:
Full-system chip multiprocessor power evaluations using FPGA-based emulation. ISLPED 2008: 335-340 - [c75]Sibren Isaacman, Margaret Martonosi:
Potential for collaborative caching and prefetching in largely-disconnected villages. Wireless Networks and Systems for Developing Regions 2008: 23-30 - [c74]Vincent Lenders, Emmanouil Koukoumidis, Pei Zhang, Margaret Martonosi:
Location-based trust for mobile user-generated content: applications, challenges and implementations. HotMobile 2008: 60-64 - [e3]Tarek F. Abdelzaher, Margaret Martonosi, Adam Wolisz:
Proceedings of the 6th International Conference on Embedded Networked Sensor Systems, SenSys 2008, Raleigh, NC, USA, November 5-7, 2008. ACM 2008, ISBN 978-1-59593-990-6 [contents] - 2007
- [j28]Yong Wang, Margaret Martonosi, Li-Shiuan Peh:
Predicting link quality using supervised learning in wireless sensor networks. ACM SIGMOBILE Mob. Comput. Commun. Rev. 11(3): 71-83 (2007) - [j27]Gilberto Contreras, Margaret Martonosi, Jinzhang Peng, Guei-Yuan Lueh, Roy Ju:
The XTREM power and performance simulator for the Intel XScale core: Design and experiences. ACM Trans. Embed. Comput. Syst. 6(1): 4 (2007) - [c73]Eric Chi, Stephen A. Lyon, Margaret Martonosi:
Tailoring quantum architectures to implementation style: a quantum computer for mobile and persistent qubits. ISCA 2007: 198-209 - [c72]Christopher M. Sadler, Margaret Martonosi:
Dali: a communication-centric data abstraction layer for energy-constrained devices in mobile sensor networks. MobiSys 2007: 99-112 - 2006
- [j26]James Donald, Margaret Martonosi:
An Efficient, Practical Parallelization Methodology for Multicore Architecture Simulation. IEEE Comput. Archit. Lett. 5(2) (2006) - [j25]Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks:
Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance. IEEE Micro 26(1): 119-129 (2006) - [c71]Canturk Isci, Margaret Martonosi:
Phase characterization for power: evaluating control-flow-based and event-counter-based techniques. HPCA 2006: 121-132 - [c70]Gilberto Contreras, Margaret Martonosi:
Techniques for Real-System Characterization of Java Virtual Machine Energy and Power Behavior. IISWC 2006: 29-38 - [c69]James Donald, Margaret Martonosi:
Techniques for Multicore Thermal Management: Classification and New Exploration. ISCA 2006: 78-88 - [c68]James Donald, Margaret Martonosi:
Power efficiency for variation-tolerant multicore processors. ISLPED 2006: 304-309 - [c67]Margaret Martonosi:
Embedded systems in the wild: ZebraNet software, hardware, and deployment experiences. LCTES 2006: 1 - [c66]Yong Wang, Margaret Martonosi, Li-Shiuan Peh:
Situation-Aware Caching Strategies in Highly Varying Mobile Networks. MASCOTS 2006: 265-274 - [c65]Canturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose, Margaret Martonosi:
An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. MICRO 2006: 347-358 - [c64]Canturk Isci, Gilberto Contreras, Margaret Martonosi:
Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management. MICRO 2006: 359-370 - [c63]Pei Zhang, Christopher M. Sadler, Margaret Martonosi:
Middleware for long-term deployment of delay-tolerant sensor networks. MidSens 2006: 13-18 - [c62]Yong Wang, Margaret Martonosi, Li-Shiuan Peh:
A supervised learning approach for routing optimizations in wireless sensor networks. REALMAN@MobiHoc 2006: 79-86 - [c61]Yong Wang, Margaret Martonosi, Li-Shiuan Peh:
Supervised Learning in Sensor Networks: New Approaches with Routing, Reliability Optimizations. SECON 2006: 256-265 - [c60]Christopher M. Sadler, Margaret Martonosi:
Data compression algorithms for energy-constrained devices in delay tolerant networks. SenSys 2006: 265-278 - [c59]Pei Zhang, Margaret Martonosi:
Energy adaptation techniques to optimize data delivery in store-and-forward sensor networks. SenSys 2006: 405-406 - [c58]Yong Wang, Chieh-Yih Wan, Margaret Martonosi, Li-Shiuan Peh:
Transport layer approaches for improving idle energy in challenged sensor networks. CHANTS@SIGCOMM 2006: 253-260 - [e2]John Paul Shen, Margaret Martonosi:
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006. ACM 2006, ISBN 1-59593-451-0 [contents] - 2005
- [j24]Canturk Isci, Alper Buyuktosunoglu, Margaret Martonosi:
Long-Term Workload Phases: Duration Predictions and Applications to DVFS. IEEE Micro 25(5): 39-51 (2005) - [j23]Qiang Wu, Philo Juang, Margaret Martonosi, Li-Shiuan Peh, Douglas W. Clark:
Formal Control Techniques for Power-Performance Management. IEEE Micro 25(5): 52-62 (2005) - [j22]Julia Chen, Philo Juang, Kevin Ko, Gilberto Contreras, David Penry, Ram Rangan, Adam Stoler, Li-Shiuan Peh, Margaret Martonosi:
Hardware-modulated parallelism in chip multiprocessors. SIGARCH Comput. Archit. News 33(4): 54-63 (2005) - [c57]Fen Xie, Margaret Martonosi, Sharad Malik:
Efficient behavior-driven runtime dynamic voltage scaling policies. CODES+ISSS 2005: 105-110 - [c56]Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark:
Voltage and Frequency Control With Adaptive Reaction Time in Multiple-Clock-Domain Processors. HPCA 2005: 178-189 - [c55]Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark:
Coordinated, distributed, formal energy management of chip multiprocessors. ISLPED 2005: 127-130 - [c54]Gilberto Contreras, Margaret Martonosi:
Power prediction for intel XScale processors using performance monitoring unit events. ISLPED 2005: 221-226 - [c53]Fen Xie, Margaret Martonosi, Sharad Malik:
Bounds on power savings using runtime dynamic voltage scaling: an exact algorithm and a linear-time heuristic approximation. ISLPED 2005: 287-292 - [c52]Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David M. Brooks:
A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. MICRO 2005: 271-282 - [c51]Yong Wang, Margaret Martonosi, Li-Shiuan Peh:
A new scheme on link quality prediction and its applications to metric-based routing. SenSys 2005: 288-289 - [c50]Yong Wang, Sushant Jain, Margaret Martonosi, Kevin Fall:
Erasure-coding based routing for opportunistic networks. WDTN@SIGCOMM 2005: 229-236 - 2004
- [j21]David M. Brooks, Pradip Bose, Margaret Martonosi:
Power-performance simulation: design and validation strategies. SIGMETRICS Perform. Evaluation Rev. 31(4): 13-18 (2004) - [j20]Yong Wang, Margaret Martonosi, Li-Shiuan Peh:
MARio: mobility-adaptive routing using route lifetime abstractions in mobile ad hoc networks. ACM SIGMOBILE Mob. Comput. Commun. Rev. 8(4): 77-81 (2004) - [j19]Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras:
Implementing branch-predictor decay using quasi-static memory cells. ACM Trans. Archit. Code Optim. 1(2): 180-219 (2004) - [j18]Fen Xie, Margaret Martonosi, Sharad Malik:
Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling. ACM Trans. Archit. Code Optim. 1(3): 323-367 (2004) - [c49]Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark:
Formal online methods for voltage/frequency control in multiple clock domain microprocessors. ASPLOS 2004: 248-259 - [c48]Russ Joseph, Zhigang Hu, Margaret Martonosi:
Wavelet Analysis for Microprocessor Design: Experiences with Wavelet-Based dI/dt Characterization. HPCA 2004: 36-47 - [c47]Russ Joseph, Margaret Martonosi, Zhigang Hu:
Spectral analysis for characterizing program power and performance. ISPASS 2004: 151-160 - [c46]Gilberto Contreras, Margaret Martonosi, Jinzhan Peng, Roy Ju, Guei-Yuan Lueh:
XTREM: a power simulator for the Intel XScale® core. LCTES 2004: 115-125 - [c45]Ting Liu, Christopher M. Sadler, Pei Zhang, Margaret Martonosi:
Implementing Software on Resource-Constrained Mobile Sensors: Experiences with Impala and ZebraNet. MobiSys 2004 - [c44]Pei Zhang, Christopher M. Sadler, Stephen A. Lyon, Margaret Martonosi:
Hardware design experiences in ZebraNet. SenSys 2004: 227-238 - 2003
- [j17]Kevin Skadron, Margaret Martonosi, David I. August, Mark D. Hill, David J. Lilja, Vijay S. Pai:
Challenges in Computer Architecture Evaluation. Computer 36(8): 30-36 (2003) - [c43]Russ Joseph, David M. Brooks, Margaret Martonosi:
Control Techniques to Eliminate Voltage Emergencies in High Performance Processors. HPCA 2003: 79-90 - [c42]Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras:
TCP: Tag Correlating Prefetchers. HPCA 2003: 317-326 - [c41]Canturk Isci, Margaret Martonosi:
Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data. MICRO 2003: 93-104 - [c40]Fen Xie, Margaret Martonosi, Sharad Malik:
Compile-time dynamic voltage scaling settings: opportunities and limits. PLDI 2003: 49-62 - [c39]Ting Liu, Margaret Martonosi:
Impala: a middleware system for managing autonomic, parallel sensor systems. PPoPP 2003: 107-118 - 2002
- [j16]Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Zhigang Hu, Margaret Martonosi, Douglas W. Clark:
Implementing Decay Techniques using 4T Quasi-Static Memory Cells. IEEE Comput. Archit. Lett. 1 (2002) - [j15]Zhigang Hu, Stefanos Kaxiras, Margaret Martonosi:
Let caches decay: reducing leakage energy via exploitation of cache generational behavior. ACM Trans. Comput. Syst. 20(2): 161-190 (2002) - [c38]Philo Juang, Hidekazu Oki, Yong Wang, Margaret Martonosi, Li-Shiuan Peh, Daniel Rubenstein:
Energy-efficient computing for wildlife tracking: design tradeoffs and early experiences with ZebraNet. ASPLOS 2002: 96-107 - [c37]Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi:
Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. ICCD 2002: 442-445 - [c36]Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras:
Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior. ISCA 2002: 209-220 - [c35]Zhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
Managing leakage for transient data: decay and quasi-static 4T memory cells. ISLPED 2002: 52-55 - [e1]Richard R. Muntz, Margaret Martonosi, Edmundo de Souza e Silva:
Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2002, June 15-19, 2002, Marina Del Rey, California, USA. ACM 2002, ISBN 1-58113-531-9 [contents] - 2001
- [c34]David M. Brooks, Margaret Martonosi:
Dynamic Thermal Management for High-Performance Microprocessors. HPCA 2001: 171-182 - [c33]Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi:
Cache decay: exploiting generational behavior to reduce cache leakage power. ISCA 2001: 240-251 - [c32]Russ Joseph, Margaret Martonosi:
Run-time power estimation in high performance microprocessors. ISLPED 2001: 135-140 - [c31]Hongli Zhang, Margaret Martonosi:
A Mathematical Cache Miss Analysis for Pointer Data Structures. PP 2001 - 2000
- [j14]Per Stenström, Erik Hagersten, David J. Lilja, Margaret Martonosi, Madan Venugopal:
Shared-memory multiprocessing: Current state and future directions. Adv. Comput. 53: 1-53 (2000) - [j13]Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
Speculative Updates of Local and Global Branch History: A Quantitative Analysis. J. Instr. Level Parallelism 2 (2000) - [j12]Zhen Luo, Margaret Martonosi:
Accelerating Pipelined Integer and Floating-Point Accumulations in Configurable Hardware with Delayed Addition Techniques. IEEE Trans. Computers 49(3): 208-218 (2000) - [j11]David M. Brooks, Margaret Martonosi:
Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance. ACM Trans. Comput. Syst. 18(2): 89-126 (2000) - [j10]Zhen Luo, Margaret Martonosi, Pranav Ashar:
An Edge-endpoint-based Configurable Hardware Architecture for VLSI Layout Design Rule Checking. VLSI Design 10(3): 249-263 (2000) - [c30]Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
A Taxonomy of Branch Mispredictions, and Alloyed Prediction as a Robust Solution to Wrong-History Mispredictions. IEEE PACT 2000: 199-206 - [c29]Darko Stefanovic, Margaret Martonosi:
Limits and Graph Structure of Available Instruction-Level Parallelism (Research Note). Euro-Par 2000: 1018-1022 - [c28]Darko Stefanovic, Margaret Martonosi:
On Availability of Bit-Narrow Operations in General-Purpose Applications. FPL 2000: 412-421 - [c27]Somnath Ghosh, Margaret Martonosi, Sharad Malik:
Automated cache optimizations using CME driven diagnosis. ICS 2000: 316-326 - [c26]Xianfeng Zhou, Margaret Martonosi:
Augmenting Modern Superscalar Architectures with Configurable Extended Instructions. IPDPS Workshops 2000: 941-950 - [c25]David M. Brooks, Vivek Tiwari, Margaret Martonosi:
Wattch: a framework for architectural-level power analysis and optimizations. ISCA 2000: 83-94 - [c24]David M. Brooks, Margaret Martonosi, John-David Wellman, Pradip Bose:
Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. PACS 2000: 126-136
1990 – 1999
- 1999
- [j9]Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark:
Branch Prediction, Instruction-Window Size, and Cache Size: Performance Trade-Offs and Simulation Techniques. IEEE Trans. Computers 48(11): 1260-1281 (1999) - [j8]Peixin Zhong, Margaret Martonosi, Pranav Ashar, Sharad Malik:
Using configurable computing to accelerate Boolean satisfiability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(6): 861-868 (1999) - [j7]Somnath Ghosh, Margaret Martonosi, Sharad Malik:
Cache miss equations: a compiler framework for analyzing and tuning memory behavior. ACM Trans. Program. Lang. Syst. 21(4): 703-746 (1999) - [c23]David M. Brooks, Margaret Martonosi:
Implementing Application-Specific Cache-Coherence Protocols in Configurable Hardware. CANPC 1999: 181-195 - [c22]Zhen Luo, Margaret Martonosi, Pranav Ashar:
An Edge-Endpoint-Based Configurable Hardware Architecture for VLSI CAD Layout Design Rule Checking. FCCM 1999: 158-167 - [c21]David M. Brooks, Margaret Martonosi:
Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. HPCA 1999: 13-22 - [c20]Cheng Liao, Margaret Martonosi, Douglas W. Clark:
An Adaptive Globally-Synchronizing Clock Algorithm and its Implementation on a Myrinet-based PC Cluster. SIGMETRICS 1999: 200-201 - [c19]Cheng Liao, Margaret Martonosi, Douglas W. Clark:
Experience with an Adaptive Globally-Synchronizing Clock Algorithm. SPAA 1999: 106-114 - 1998
- [j6]Mary W. Hall, Margaret Martonosi:
Adaptive parallelism in compiler-parallelized code. Concurr. Pract. Exp. 10(14): 1235-1250 (1998) - [j5]Mark Horowitz, Margaret Martonosi, Todd C. Mowry, Michael D. Smith:
Informing Memory Operations: Memory Performance Feedback Mechanisms and Their Applications. ACM Trans. Comput. Syst. 16(2): 170-205 (1998) - [c18]Somnath Ghosh, Margaret Martonosi, Sharad Malik:
Precise Miss Analysis for Program Transformations with Caches of Arbitrary Associativity. ASPLOS 1998: 228-239 - [c17]Peixin Zhong, Pranav Ashar, Sharad Malik, Margaret Martonosi:
Using Reconfigurable Computing Techniques to Accelerate Problems in the CAD Domain: A Case Study with Boolean Satisfiability. DAC 1998: 194-199 - [c16]Peixin Zhong, Margaret Martonosi, Pranav Ashar, Sharad Malik:
Accelerating Boolean Satisfiability with Configurable Hardware. FCCM 1998: 186-195 - [c15]Peixin Zhong, Margaret Martonosi, Pranav Ashar, Sharad Malik:
Solving Boolean Satisfiability with Dynamic Hardware Configurations. FPL 1998: 326-335 - [c14]Pritpal S. Ahuja, Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
Multipath Execution: Opportunities and Limits. International Conference on Supercomputing 1998: 101-108 - [c13]Cheng Liao, Dongming Jiang, Liviu Iftode, Margaret Martonosi, Douglas W. Clark:
Monitoring Shared Virtual Memory Performance on a Myrinet-based PC Cluster. International Conference on Supercomputing 1998: 251-258 - [c12]Matthias A. Blumrich, Richard Alpert, Yuqun Chen, Douglas W. Clark, Stefanos N. Damianakis, Cezary Dubnicki, Edward W. Felten, Liviu Iftode, Kai Li, Margaret Martonosi, Robert A. Shillner:
Design Choices in the SHRIMP System: An Empirical Study. ISCA 1998: 330-341 - [c11]Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark:
Improving Prediction for Procedure Returns with Return-address-stack Repair Mechanisms. MICRO 1998: 259-271 - [c10]Cheng Liao, Margaret Martonosi, Douglas W. Clark:
Performance monitoring in a Myrinet-connected SHRIMP cluster. SPDT 1998: 21-29 - 1997
- [j4]Per Stenström, Erik Hagersten, David J. Lilja, Margaret Martonosi, Madan Venugopal:
Trends in Shared Memory Multiprocessing. Computer 30(12): 44-50 (1997) - [c9]Sharad Malik, Margaret Martonosi, Yau-Tsun Steven Li:
Static Timing Analysis of Embedded Software. DAC 1997: 147-152 - [c8]Somnath Ghosh, Margaret Martonosi, Sharad Malik:
Cache Miss Equations: An Analytical Representation of Cache Misses. International Conference on Supercomputing 1997: 317-324 - 1996
- [j3]Evan Torrie, Margaret Martonosi, Mary W. Hall, Chau-Wen Tseng:
Memory Referencing Behavior in Compiler-Parallelized Applications. Int. J. Parallel Program. 24(4): 249-376 (1996) - [j2]Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall:
Characterizing the Memory Behavior of Compiler-Parallelized Applications. IEEE Trans. Parallel Distributed Syst. 7(12): 1224-1237 (1996) - [c7]Mark Horowitz, Margaret Martonosi, Todd C. Mowry, Michael D. Smith:
Informing Memory Operations: Providing Memory Performance Feedback in Modern Processors. ISCA 1996: 260-270 - [c6]Margaret Martonosi, David Ofelt, Mark A. Heinrich:
Integrating Performance Monitoring and Communication in Parallel Computers. SIGMETRICS 1996: 138-147 - [c5]Margaret Martonosi, Douglas W. Clark, Malena R. Mesarina:
The SHRIMP performance monitor: design and applications. SPDT 1996: 61-69 - 1995
- [j1]Margaret Martonosi, Anoop Gupta, Thomas E. Anderson:
Tuning Memory Performance of Sequential and Parallel Programs. Computer 28(4): 32-40 (1995) - [c4]Evan Torrie, Chau-Wen Tseng, Margaret Martonosi, Mary W. Hall:
Evaluating the impact of advanced memory systems on compiler-parallelized codes. PACT 1995: 204-213 - 1993
- [c3]Margaret Martonosi, Anoop Gupta, Thomas E. Anderson:
Effectiveness of Trace Sampling for Performance Debugging Tools. SIGMETRICS 1993: 248-259 - 1992
- [c2]Margaret Martonosi, Anoop Gupta, Thomas E. Anderson:
MemSpy: Analyzing Memory System Bottlenecks in Programs. SIGMETRICS 1992: 1-12
1980 – 1989
- 1989
- [c1]Margaret Martonosi, Anoop Gupta:
Tradeoffs in Message Passing and Shared Memory Implementations of a Standard Cell Router. ICPP (3) 1989: 88-96
Coauthor Index
aka: Juan Luis Aragón
aka: Fred Chong
aka: Ali Javadi-Abhari
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-08 21:33 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint