![](https://dblp.uni-trier.de./img/logo.320x120.png)
![search dblp search dblp](https://dblp.uni-trier.de./img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de./img/search.dark.16x16.png)
default search action
Kevin Skadron
Person information
- affiliation: University of Virginia, Charlottesville, USA
Refine list
![note](https://dblp.uni-trier.de./img/note-mark.dark.12x12.png)
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j68]Alif Ahmed, Farzana Ahmed Siddique, Kevin Skadron
:
GraphTango: A Hybrid Representation Format for Efficient Streaming Graph Updates and Analysis. Int. J. Parallel Program. 52(3): 147-170 (2024) - [j67]Lingxi Wu
, Minxuan Zhou
, Weihong Xu
, Ashish Venkat
, Tajana Rosing
, Kevin Skadron
:
Abakus: Accelerating k-mer Counting with Storage Technology. ACM Trans. Archit. Code Optim. 21(1): 10:1-10:26 (2024) - [j66]Oluwole Jaiyeoba
, Kevin Skadron
:
Dynamic-ACTS - A Dynamic Graph Analytics Accelerator For HBM-Enabled FPGAs. ACM Trans. Reconfigurable Technol. Syst. 17(3): 48:1-48:29 (2024) - [c143]Farzana Ahmed Siddique, Deyuan Guo, Zhenxing Fan, MohammadHosein Gholamrezaei, Morteza Baradaran, Alif Ahmed, Hugo Abbot, Kyle Durrer, Kumaresh Nandagopal, Ethan Ermovick, Khyati Kiyawat, Beenish Gul, Abdullah T. Mughrabi, Ashish Venkat, Kevin Skadron:
Architectural Modeling and Benchmarking for Digital DRAM PIM. IISWC 2024: 247-261 - [c142]Abdullah T. Mughrabi, Morteza Baradaran, Ahmed Samara, Kevin Skadron:
ECG: Expressing Locality and Prefetching for Optimal Caching in Graph Structures. IPDPS (Workshops) 2024: 520-525 - [i7]Oluwole Jaiyeoba, Abdullah T. Mughrabi, Morteza Baradaran, Beenish Gul, Kevin Skadron:
Swift: A Multi-FPGA Framework for Scaling Up Accelerated Graph Analytics. CoRR abs/2411.14554 (2024) - 2023
- [c141]Lingxi Wu, Rahul Sreekumar, Rasool Sharifi, Kevin Skadron
, Mircea R. Stan, Ashish Venkat:
Hardware Trojans in eNVM Neuromorphic Devices. DATE 2023: 1-6 - [c140]Wole Jaiyeoba
, Nima Elyasi
, Changho Choi
, Kevin Skadron
:
ACTS: A Near-Memory FPGA Graph Processing Framework. FPGA 2023: 79-89 - [c139]Sergiu Mosanu
, Joshua Fixelle
, Kevin Skadron
, Mircea Stan
:
FreezeTime: Towards System Emulation through Architectural Virtualization. FPGA 2023: 234 - [c138]Sergiu Mosanu, Joshua Fixelle, Mohammad Nazmus Sakib, Kevin Skadron
, Mircea Stan
:
FreezeTime: Towards System Emulation through Architectural Virtualization. IPDPS Workshops 2023: 129-136 - [i6]Akhil Shekar, Morteza Baradaran, Sabiha Tajdari, Kevin Skadron:
HashMem: PIM-based Hashmap Accelerator. CoRR abs/2306.17721 (2023) - 2022
- [j65]Lingxi Wu
, Rasool Sharifi, Ashish Venkat, Kevin Skadron
:
DRAM-CAM: General-Purpose Bit-Serial Exact Pattern Matching. IEEE Comput. Archit. Lett. 21(2): 89-92 (2022) - [j64]Marzieh Lenjani
, Alif Ahmed, Kevin Skadron
:
Pulley: An Algorithm/Hardware Co-Optimization for In-Memory Sorting. IEEE Comput. Archit. Lett. 21(2): 109-112 (2022) - [j63]Xinfei Guo
, Mohamed El-Hadedy
, Sergiu Mosanu
, Xiangdong Wei, Kevin Skadron
, Mircea R. Stan
:
Agile-AES: Implementation of configurable AES primitive with agile design approach. Integr. 85: 87-96 (2022) - [j62]Marzieh Lenjani
, Kevin Skadron
:
Supporting Moderate Data Dependency, Position Dependency, and Divergence in PIM-Based Accelerators. IEEE Micro 42(1): 108-115 (2022) - [j61]Kevin Angstadt
, Tommy Tracy II
, Kevin Skadron
, Jean-Baptiste Jeannin
, Westley Weimer
:
Synthesizing Legacy String Code for FPGAs Using Bounded Automata Learning. IEEE Micro 42(5): 70-77 (2022) - [c137]Sergiu Mosanu
, Mohammad Nazmus Sakib, Tommy Tracy II, Ersin Cukurtas, Alif Ahmed, Preslav Ivanov, Samira Manabi Khan, Kevin Skadron
, Mircea Stan
:
PiMulator: a Fast and Flexible Processing-in-Memory Emulation Platform. DATE 2022: 1473-1478 - [c136]Marzieh Lenjani, Alif Ahmed, Mircea Stan
, Kevin Skadron
:
Gearbox: a case for supporting accumulation dispatching and hybrid partitioning in PIM-based accelerators. ISCA 2022: 218-230 - [c135]Logan Moody, Wei Qi, Abdolrasoul Sharifi, Layne Berry, Joey Rudek, Jayesh Gaur, Jeff Parkhurst, Sreenivas Subramoney, Kevin Skadron
, Ashish Venkat:
Speculative Code Compaction: Eliminating Dead Code via Speculative Microcode Transformations. MICRO 2022: 162-180 - [i5]Farzana Ahmed Siddique, Tommy James Tracy II, Nathan Brunelle, Kevin Skadron
:
Deterministic vs. Non Deterministic Finite Automata in Automata Processing. CoRR abs/2210.10077 (2022) - [i4]Alif Ahmed, Farzana Ahmed Siddique, Kevin Skadron
:
GraphTango: A Hybrid Representation Format for Efficient Streaming Graph Updates and Analysis. CoRR abs/2212.11935 (2022) - 2021
- [j60]Arash Salahvarzi, Amir Mahdi Hosseini Monazzah
, Mahdi Fazeli, Kevin Skadron
:
NOSTalgy: Near-Optimum Run-Time STT-MRAM Quality-Energy Knob Management for Approximate Computing Applications. IEEE Trans. Computers 70(3): 414-427 (2021) - [j59]Mahdi Talebi, Arash Salahvarzi, Amir Mahdi Hosseini Monazzah
, Kevin Skadron
, Mahdi Fazeli:
ROCKY: A Robust Hybrid On-Chip Memory Kit for the Processors With STT-MRAM Cache Technology. IEEE Trans. Computers 70(12): 2198-2210 (2021) - [c134]Minxuan Zhou, Lingxi Wu, Muzhou Li, Niema Moshiri
, Kevin Skadron
, Tajana Rosing:
Ultra Efficient Acceleration for De Novo Genome Assembly via Near-Memory Computing. PACT 2021: 199-212 - [c133]Alif Ahmed, Jason D. Hiser, Anh Nguyen-Tuong, Jack W. Davidson, Kevin Skadron
:
BigMap: Future-proofing Fuzzers with Efficient Large Maps. DSN 2021: 531-542 - [c132]Lingxi Wu, Rasool Sharifi, Marzieh Lenjani, Kevin Skadron
, Ashish Venkat:
Sieve: Scalable In-situ DRAM-based Accelerator Designs for Massively Parallel k-mer Matching. ISCA 2021: 251-264 - [c131]Elaheh Sadredini
, Reza Rahimi, Mohsen Imani, Kevin Skadron
:
Sunder: Enabling Low-Overhead and Scalable Near-Data Pattern Matching Acceleration. MICRO 2021: 311-323 - [i3]Daehyeok Kim, Nikita Lazarev, Tommy Tracy II, Farzana Siddique, Hun Namkung, James C. Hoe, Vyas Sekar, Kevin Skadron, Zhiru Zhang, Srinivasan Seshan:
A Roadmap for Enabling a Future-Proof In-Network Computing Data Plane Ecosystem. CoRR abs/2111.04563 (2021) - 2020
- [j58]Elaheh Sadredini
, Reza Rahimi, Kevin Skadron
:
Enabling In-SRAM Pattern Processing With Low-Overhead Reporting Architecture. IEEE Comput. Archit. Lett. 19(2): 167-170 (2020) - [j57]Patricia Gonzalez-Guerrero
, Tommy Tracy II, Xinfei Guo
, Rahul Sreekumar, Marzieh Lenjani, Kevin Skadron
, Mircea R. Stan
:
Towards on-node Machine Learning for Ultra-low-power Sensors Using Asynchronous Σ Δ Streams. ACM J. Emerg. Technol. Comput. Syst. 16(4): 44:1-44:20 (2020) - [c130]Elaheh Sadredini
, Reza Rahimi, Marzieh Lenjani, Mircea Stan
, Kevin Skadron
:
FlexAmata: A Universal and Efficient Adaption of Applications to Spatial Automata Processing Accelerators. ASPLOS 2020: 219-234 - [c129]Reza Rahimi, Elaheh Sadredini
, Mircea Stan
, Kevin Skadron
:
Grapefruit: An Open-Source, Full-Stack, and Customizable Automata Processing on FPGAs. FCCM 2020: 138-147 - [c128]Tommy Tracy II, Lucas M. Tabajara, Moshe Y. Vardi, Kevin Skadron
:
Runtime Verification on FPGAs with LTLf Specifications. FMCAD 2020: 36-46 - [c127]Elaheh Sadredini
, Reza Rahimi, Marzieh Lenjani, Mircea Stan
, Kevin Skadron
:
Impala: Algorithm/Architecture Co-Design for In-Memory Multi-Stride Pattern Matching. HPCA 2020: 86-98 - [c126]Marzieh Lenjani, Patricia Gonzalez-Guerrero, Elaheh Sadredini
, Shuangchen Li, Yuan Xie, Ameen Akel, Sean Eilert, Mircea R. Stan
, Kevin Skadron
:
Fulcrum: A Simplified Control and Access Mechanism Toward Flexible and Practical In-Situ Accelerators. HPCA 2020: 556-569
2010 – 2019
- 2019
- [j56]Elaheh Sadredini
, Reza Rahimi, Vaibhav Verma
, Mircea Stan
, Kevin Skadron
:
A Scalable and Efficient In-Memory Interconnect Architecture for Automata Processing. IEEE Comput. Archit. Lett. 18(2): 87-90 (2019) - [j55]Mohamed El-Hadedy
, Amit Kulkarni
, Dirk Stroobandt
, Kevin Skadron
:
Reco-Pi: A reconfigurable Cryptoprocessor for π-Cipher. J. Parallel Distributed Comput. 133: 420-431 (2019) - [j54]Kevin Angstadt
, Jack Wadden, Westley Weimer, Kevin Skadron
:
Portable Programming with RAPID. IEEE Trans. Parallel Distributed Syst. 30(4): 939-952 (2019) - [j53]Chunkun Bo, Vinh Dang, Ted Xie, Jack Wadden, Mircea Stan
, Kevin Skadron
:
Automata Processing in Reconfigurable Architectures: In-the-Cloud Deployment, Cross-Platform Evaluation, and Fast Symbol-Only Reconfiguration. ACM Trans. Reconfigurable Technol. Syst. 12(2): 9:1-9:25 (2019) - [j52]Somayeh Rahimipour
, Runjie Zhang, Ke Wang, Kevin Skadron
, Fakhrul Zaman Rokhani
, Mircea R. Stan
:
MTTF Enhancement Power-C4 Bump Placement Optimization. IEEE Trans. Very Large Scale Integr. Syst. 27(7): 1633-1639 (2019) - [c125]Matthew Casias, Kevin Angstadt
, Tommy Tracy II, Kevin Skadron
, Westley Weimer:
Debugging Support for Pattern-Matching Languages and Accelerators. ASPLOS 2019: 1073-1086 - [c124]Eric Cheng, Daniel Mueller-Gritschneder
, Jacob A. Abraham, Pradip Bose, Alper Buyuktosunoglu, Deming Chen, Hyungmin Cho, Yanjing Li, Uzair Sharif, Kevin Skadron
, Mircea Stan
, Ulf Schlichtmann
, Subhasish Mitra:
Cross-Layer Resilience: Challenges, Insights, and the Road Ahead. DAC 2019: 198 - [c123]Wole Jaiyeoba, Kevin Skadron
:
GraphTinker: A High Performance Data Structure for Dynamic Graph Processing. IPDPS 2019: 1030-1041 - [c122]Alif Ahmed, Kevin Skadron
:
Hopscotch: a micro-benchmark suite for memory performance evaluation. MEMSYS 2019: 167-172 - [c121]Elaheh Sadredini
, Reza Rahimi, Vaibhav Verma
, Mircea Stan
, Kevin Skadron
:
eAP: A Scalable and Efficient In-Memory Accelerator for Automata Processing. MICRO 2019: 87-99 - 2018
- [j51]Kevin Angstadt
, Jack Wadden, Vinh Dang, Ted Xie, Dan Kramp, Westley Weimer, Mircea Stan
, Kevin Skadron
:
MNCaRT: An Open-Source, Multi-Architecture Automata-Processing Research and Execution Ecosystem. IEEE Comput. Archit. Lett. 17(1): 84-87 (2018) - [j50]Ke Wang
, Elaheh Sadredini
, Kevin Skadron
:
Hierarchical Pattern Mining with the Automata Processor. Int. J. Parallel Program. 46(2): 376-411 (2018) - [j49]Eric Cheng
, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho
, Kevin Skadron
, Mircea R. Stan
, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra
:
Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience). IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(9): 1839-1852 (2018) - [c120]Chunkun Bo, Vinh Dang, Elaheh Sadredini
, Kevin Skadron
:
Searching for Potential gRNA Off-Target Sites for CRISPR/Cas9 Using Automata Processing Across Different Platforms. HPCA 2018: 737-748 - [c119]Jack Wadden, Kevin Angstadt
, Kevin Skadron
:
Characterizing and Mitigating Output Reporting Bottlenecks in Spatial Automata Processing Architectures. HPCA 2018: 749-761 - [c118]Jack Wadden, Tommy Tracy II, Elaheh Sadredini
, Lingxi Wu, Chunkun Bo, Jesse Du, Yizhou Wei, Jeffrey Udall, Matthew Wallace
, Mircea Stan
, Kevin Skadron
:
AutomataZoo: A Modern Automata Processing Benchmark Suite. IISWC 2018: 13-24 - [c117]Elaheh Sadredini
, Deyuan Guo, Chunkun Bo, Reza Rahimi, Kevin Skadron
, Hongning Wang
:
A Scalable Solution for Rule-Based Part-of-Speech Tagging on Novel Hardware Accelerators. KDD 2018: 665-674 - [c116]Kevin Angstadt
, Arun Subramaniyan, Elaheh Sadredini
, Reza Rahimi, Kevin Skadron
, Westley Weimer, Reetuparna Das
:
ASPEN: A Scalable In-SRAM Architecture for Pushdown Automata. MICRO 2018: 921-932 - 2017
- [j48]Qiong Wang, Mohamed El-Hadedy, Kevin Skadron
, Ke Wang:
Accelerating Weeder: A DNA Motif Search Tool Using the Micron Automata Processor and FPGA. IEICE Trans. Inf. Syst. 100-D(10): 2470-2477 (2017) - [j47]Mohamed El-Hadedy, Xinfei Guo
, Martin Margala
, Mircea R. Stan
, Kevin Skadron
:
Dual-Data Rate Transpose-Memory Architecture Improves the Performance, Power and Area of Signal-Processing Systems. J. Signal Process. Syst. 88(2): 167-184 (2017) - [c115]Mohamed El-Hadedy, Xinfei Guo
, Mircea R. Stan
, Kevin Skadron
:
PPE-ARX: Area- and power-efficient VLIW programmable processing element for IoT crypto-systems. AHS 2017: 153-160 - [c114]Vinh Dang, Kevin Skadron
:
Acceleration of Frequent Itemset Mining on FPGA using SDAccel and Vivado HLS. ASAP 2017: 195-200 - [c113]Jack Wadden, Samira Manabi Khan, Kevin Skadron
:
Automata-to-Routing: An Open-Source Toolchain for Design-Space Exploration of Spatial Automata Processing Architectures. FCCM 2017: 180-187 - [c112]Ted Xie, Vinh Dang, Jack Wadden, Kevin Skadron
, Mircea Stan
:
REAPR: Reconfigurable engine for automata processing. FPL 2017: 1-8 - [c111]Tiffany Ly, Rituparna Sarkar, Kevin Skadron, Scott T. Acton:
Classifying images in a histopathological dataset using the cumulative distribution transform on an automata architecture. GlobalSIP 2017: 730-734 - [c110]Eric Cheng, Jacob A. Abraham, Pradip Bose, Alper Buyuktosunoglu, Keith A. Campbell, Deming Chen, Chen-Yong Cher, Hyungmin Cho, Binh Q. Le, Klas Lilja, Shahrzad Mirkhani, Kevin Skadron
, Mircea Stan
, Lukasz G. Szafaryn, Christos Vezyrtzis, Subhasish Mitra
:
Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights. ICCD 2017: 593-596 - [c109]Alec Roelke, Runjie Zhang, Kaushik Mazumdar, Ke Wang, Kevin Skadron
, Mircea R. Stan
:
Pre-RTL Voltage and Power Optimization for Low-Cost, Thermally Challenged Multicore Chips. ICCD 2017: 597-600 - [c108]Ramon Bertran
, Pradip Bose, David M. Brooks, Jeff Burns, Alper Buyuktosunoglu, Nandhini Chandramoorthy, Eric Cheng, Martin Cochet, Schuyler Eldridge
, Daniel Friedman, Hans M. Jacobson, Rajiv V. Joshi, Subhasish Mitra, Robert K. Montoye, Arun Paidimarri
, Pritish Parida, Kevin Skadron
, Mircea Stan
, Karthik Swaminathan, Augusto Vega, Swagath Venkataramani, Christos Vezyrtzis, Gu-Yeon Wei, John-David Wellman, Matthew M. Ziegler:
Very Low Voltage (VLV) Design. ICCD 2017: 601-604 - [c107]Elaheh Sadredini
, Reza Rahimi, Ke Wang, Kevin Skadron
:
Frequent subtree mining on the automata processor: challenges and opportunities. ICS 2017: 4:1-4:11 - [i2]Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron, Mircea R. Stan, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra:
Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience). CoRR abs/1709.09921 (2017) - 2016
- [j46]Babak Falsafi, Mircea Stan
, Kevin Skadron
, Nuwan Jayasena, Yunji Chen
, Jinhua Tao, Ravi Nair, Jaime H. Moreno, Naveen Muralimanohar, Karthikeyan Sankaralingam, Cristian Estan:
Near-Memory Data Services. IEEE Micro 36(1): 6-13 (2016) - [j45]Runjie Zhang, Brett H. Meyer, Ke Wang, Mircea R. Stan
, Kevin Skadron
:
Tolerating the Consequences of Multiple EM-Induced C4 Bump Failures. IEEE Trans. Very Large Scale Integr. Syst. 24(6): 2335-2344 (2016) - [c106]Tiffany Ly, Rituparna Sarkar, Kevin Skadron
, Scott T. Acton:
Feature extraction and image retrieval on an automata structure. ACSSC 2016: 566-570 - [c105]Kevin Angstadt
, Westley Weimer, Kevin Skadron
:
RAPID Programming of Pattern-Recognition Processors. ASPLOS 2016: 593-605 - [c104]Chunkun Bo, Ke Wang, Jeffrey J. Fox
, Kevin Skadron
:
Entity resolution acceleration using the automata processor. IEEE BigData 2016: 311-318 - [c103]Ke Wang, Elaheh Sadredini
, Kevin Skadron
:
Sequential pattern mining with the Micron automata processor. Conf. Computing Frontiers 2016: 135-144 - [c102]Ke Wang, Kevin Angstadt, Chunkun Bo, Nathan Brunelle, Elaheh Sadredini
, Tommy Tracy II, Jack Wadden, Mircea R. Stan
, Kevin Skadron
:
An overview of micron's automata processor. CODES+ISSS 2016: 14:1-14:3 - [c101]Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron
, Mircea R. Stan
, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra
:
Clear: cross-layer exploration for architecting resilience combining hardware and software techniques to tolerate soft errors in processor cores. DAC 2016: 68:1-68:6 - [c100]Liang Wang, Kevin Skadron
:
Lumos+: Rapid, pre-RTL design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic. ICCD 2016: 328-335 - [c99]Jack Wadden, Nathan Brunelle, Ke Wang, Mohamed El-Hadedy, Gabriel Robins, Mircea Stan
, Kevin Skadron
:
Generating efficient and high-quality pseudo-random behavior on Automata Processors. ICCD 2016: 622-629 - [c98]Jack Wadden, Vinh Dang, Nathan Brunelle, Tommy Tracy II, Deyuan Guo, Elaheh Sadredini
, Ke Wang, Chunkun Bo, Gabriel Robins, Mircea Stan
, Kevin Skadron
:
ANMLzoo: a benchmark suite for exploring bottlenecks in automata processing engines and architectures. IISWC 2016: 105-166 - [c97]Mohamed El-Hadedy, Hristina Mihajloska, Danilo Gligoroski, Amit Kulkarni, Dirk Stroobandt, Kevin Skadron
:
A 16-Bit Reconfigurable Encryption Processor for p-Cipher. IPDPS Workshops 2016: 162-171 - [i1]Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron, Mircea R. Stan, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra:
CLEAR: Cross-Layer Exploration for Architecting Resilience - Combining Hardware and Software Techniques to Tolerate Soft Errors in Processor Cores. CoRR abs/1604.03062 (2016) - 2015
- [c96]Keira Zhou, Jack Wadden, Jeffrey J. Fox
, Ke Wang, Donald E. Brown, Kevin Skadron
:
Regular expression acceleration on the micron automata processor: Brill tagging as a case study. IEEE BigData 2015: 355-360 - [c95]Runjie Zhang, Kaushik Mazumdar, Brett H. Meyer, Ke Wang, Kevin Skadron
, Mircea R. Stan
:
A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC. DAC 2015: 133:1-133:6 - [c94]Seyyed Hasan Mozafari, Brett H. Meyer, Kevin Skadron
:
Yield-aware Performance-Cost Characterization for Multi-Core SIMT. ACM Great Lakes Symposium on VLSI 2015: 237-240 - [c93]Ke Wang, Yanjun Qi, Jeffrey J. Fox
, Mircea R. Stan
, Kevin Skadron
:
Association Rule Mining with the Micron Automata Processor. IPDPS 2015: 689-699 - [c92]Mohamed El-Hadedy, Kevin Skadron
:
Hardware overhead analysis of programmability in ARX crypto processing. HASP@ISCA 2015: 8:1-8:4 - [c91]Runjie Zhang, Kaushik Mazumdar, Brett H. Meyer, Ke Wang, Kevin Skadron
, Mircea R. Stan
:
Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC. ISLPED 2015: 152-158 - [c90]Liang Wang, Augusto Vega, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron
:
Power-efficient embedded processing with resilience and real-time constraints. ISLPED 2015: 231-236 - [c89]Keira Zhou, Jeffrey J. Fox
, Ke Wang, Donald E. Brown, Kevin Skadron
:
Brill tagging on the Micron Automata Processor. ICSC 2015: 236-239 - 2014
- [j44]Shuai Che, Kevin Skadron
:
BenchFriend: Correlating the performance of GPU benchmarks. Int. J. High Perform. Comput. Appl. 28(2): 238-250 (2014) - [c88]Rituparna Sarkar, Sedat Ozer, Kevin Skadron
, Scott T. Acton:
Image classification by multi-kernel dictionary learning. ACSSC 2014: 73-77 - [c87]Ke Wang, Brett H. Meyer, Runjie Zhang, Kevin Skadron
, Mircea R. Stan
:
Walking pads: Fast power-supply pad-placement optimization. ASP-DAC 2014: 537-543 - [c86]Ke Wang, Brett H. Meyer, Runjie Zhang, Mircea R. Stan
, Kevin Skadron
:
Walking Pads: Managing C4 Placement for Transient Voltage Noise Minimization. DAC 2014: 126:1-126:6 - [c85]Saad Arrabi, D. Moore, L. Wang, Kevin Skadron
, Benton H. Calhoun, John C. Lach, Brett H. Meyer:
Flexibility and Circuit Overheads in Reconfigurable SIMD/MIMD Systems. FCCM 2014: 236 - [c84]Rituparna Sarkar, Kevin Skadron
, Scott T. Acton:
A meta-algorithm for classification by feature nomination. ICIP 2014: 5187-5191 - [c83]Liang Wang, Ramon Bertran
, Alper Buyuktosunoglu, Pradip Bose, Kevin Skadron
:
Characterization of transient error tolerance for a class of mobile embedded applications. IISWC 2014: 74-75 - [c82]Shuai Che, Jiayuan Meng, Kevin Skadron
:
Dymaxion++: A Directive-Based API to Optimize Data Layout and Memory Mapping for Heterogeneous Systems. IPDPS Workshops 2014: 916-924 - [c81]Jack Wadden, Alexander Lyashevsky, Sudhanva Gurumurthi, Vilas Sridharan, Kevin Skadron
:
Real-world design and evaluation of compiler-managed GPU redundant multithreading. ISCA 2014: 73-84 - [c80]Runjie Zhang, Ke Wang, Brett H. Meyer, Mircea R. Stan
, Kevin Skadron
:
Architecture implications of pads as a scarce resource. ISCA 2014: 373-384 - [c79]Guido Juckeland
, William C. Brantley, Sunita Chandrasekaran, Barbara M. Chapman, Shuai Che, Mathew E. Colgrove, Huiyu Feng, Alexander Grund
, Robert Henschel, Wen-mei W. Hwu, Huian Li, Matthias S. Müller
, Wolfgang E. Nagel, Maxim Perminov, Pavel Shelepugin, Kevin Skadron
, John A. Stratton, Alexey Titov, Ke Wang, G. Matthijs van Waveren, Brian Whitney, Sandra Wienke
, Rengan Xu, Kalyan Kumaran:
SPEC ACCEL: A Standard Application Suite for Measuring Hardware Accelerator Performance. PMBS@SC 2014: 46-67 - [c78]Subhasish Mitra, Pradip Bose, Eric Cheng, Chen-Yong Cher, Hyungmin Cho, Rajiv V. Joshi, Young Moon Kim, Charles R. Lefurgy, Yanjing Li, Kenneth P. Rodbell, Kevin Skadron, James H. Stathis, Lukasz G. Szafaryn:
The resilience wall: Cross-layer solution strategies. VLSI-DAT 2014: 1-11 - 2013
- [j43]Ryan M. Layer, Kevin Skadron
, Gabriel Robins, Ira M. Hall, Aaron R. Quinlan
:
Binary Interval Search: a scalable algorithm for counting interval intersections. Bioinform. 29(1): 1-7 (2013) - [j42]Kevin Skadron
:
Introducing the New Editor-in-Chief of the IEEE Computer Architecture Letters. IEEE Comput. Archit. Lett. 12(1): 1 (2013) - [j41]Karthik Sankaranarayanan, Brett H. Meyer, Wei Huang, Robert J. Ribando, Hossein Haj-Hariri, Mircea R. Stan
, Kevin Skadron
:
Architectural implications of spatial thermal filtering. Integr. 46(1): 44-56 (2013) - [j40]Lukasz G. Szafaryn, Todd Gamblin, Bronis R. de Supinski, Kevin Skadron
:
Trellis: Portability across architectures with a high-level framework. J. Parallel Distributed Comput. 73(10): 1400-1413 (2013) - [j39]Lukasz G. Szafaryn, Brett H. Meyer, Kevin Skadron
:
Evaluating Overheads of Multibit Soft-Error Protection in the Processor Core. IEEE Micro 33(4): 56-65 (2013) - [j38]Liang Wang, Kevin Skadron
:
Implications of the Power Wall: Dim Cores and Reconfigurable Logic. IEEE Micro 33(5): 40-48 (2013) - [c77]Michael Boyer, Kevin Skadron
, Shuai Che, Nuwan Jayasena:
Load balancing in a changing world: dealing with heterogeneity and performance variability. Conf. Computing Frontiers 2013: 21:1-21:10 - [c76]Shuai Che, Bradford M. Beckmann, Steven K. Reinhardt, Kevin Skadron
:
Pannotia: Understanding irregular GPGPU graph applications. IISWC 2013: 185-195 - 2012
- [j37]Joonho Kong, Sung Woo Chung, Kevin Skadron
:
Recent thermal management techniques for microprocessors. ACM Comput. Surv. 44(3): 13:1-13:42 (2012) - [j36]Jason Mars, Lingjia Tang, Kevin Skadron
, Mary Lou Soffa, Robert Hundt:
Increasing Utilization in Modern Warehouse-Scale Computers Using Bubble-Up. IEEE Micro 32(3): 88-99 (2012) - [j35]Mark Gebhart, Daniel R. Johnson, David Tarjan, Stephen W. Keckler, William J. Dally, Erik Lindholm, Kevin Skadron
:
A Hierarchical Thread Scheduler and Register File for Energy-Efficient Throughput Processors. ACM Trans. Comput. Syst. 30(2): 8:1-8:38 (2012) - [c75]Chris Gregg, Jonathan Dorn, Kim M. Hazelwood, Kevin Skadron:
Fine-Grained Resource Sharing for Concurrent GPGPU Kernels. HotPar 2012 - [c74]Jiayuan Meng, Jeremy W. Sheaffer, Kevin Skadron
:
Robust SIMD: Dynamically Adapted SIMD Width and Multi-Threading Depth. IPDPS 2012: 107-118 - [c73]Gregory G. Faust, Runjie Zhang, Kevin Skadron
, Mircea R. Stan
, Brett H. Meyer:
ArchFP: Rapid prototyping of pre-RTL floorplans. VLSI-SoC 2012: 183-188 - [p1]Michael Garland, Vinod Grover, Kevin Skadron:
Scalable Manycore Computing with CUDA. Fundamentals of Multicore Software Development 2012: 1-24 - 2011
- [j34]Kevin Skadron
:
Editorial: Letter from the Editor-in-Chief. IEEE Comput. Archit. Lett. 10(1): 1-3 (2011) - [j33]Jiayuan Meng, Kevin Skadron
:
A Performance Study for Iterative Stencil Loops on GPUs with Ghost Zone Optimizations. Int. J. Parallel Program. 39(1): 115-142 (2011) - [j32]Wei Huang, Karthick Rajamani, Mircea R. Stan
, Kevin Skadron
:
Scaling with Design Constraints: Predicting the Future of Big Chips. IEEE Micro 31(4): 16-29 (2011) - [j31]Karthik Sankaranarayanan, Brett H. Meyer, Mircea R. Stan
, Kevin Skadron
:
Thermal benefit of multi-core floorplanning: A limits study. Sustain. Comput. Informatics Syst. 1(4): 286-293 (2011) - [c72]Brett H. Meyer, Benton H. Calhoun, John C. Lach, Kevin Skadron
:
Cost-effective safety and fault localization using distributed temporal redundancy. CASES 2011: 125-134 - [c71]Brett H. Meyer, Nishant J. George, Benton H. Calhoun, John C. Lach, Kevin Skadron:
Reducing the cost of redundant execution in safety-critical systems using relaxed dedication. DATE 2011: 1249-1254 - [c70]Wim Heirman
, Trevor E. Carlson
, Shuai Che, Kevin Skadron
, Lieven Eeckhout:
Using cycle stacks to understand scaling bottlenecks in multi-threaded workloads. IISWC 2011: 38-49 - [c69]Mark Gebhart, Daniel R. Johnson, David Tarjan, Stephen W. Keckler, William J. Dally, Erik Lindholm, Kevin Skadron
:
Energy-efficient mechanisms for managing thread context in throughput processors. ISCA 2011: 235-246 - [c68]Jiayuan Meng, Kevin Skadron
:
A reconfigurable simulator for large-scale heterogeneous multicore architectures. ISPASS 2011: 119-120 - [c67]Jason Mars, Lingjia Tang, Robert Hundt, Kevin Skadron
, Mary Lou Soffa:
Bubble-Up: increasing utilization in modern warehouse scale computers via sensible co-locations. MICRO 2011: 248-259 - [c66]Shuai Che, Jeremy W. Sheaffer, Kevin Skadron
:
Dymaxion: optimizing memory access patterns for heterogeneous systems. SC 2011: 13:1-13:11 - 2010
- [j30]Kevin Skadron
:
Editorial: Letter from the Editor-in-Chief. IEEE Comput. Archit. Lett. 9(2): 37-44 (2010) - [j29]Michael Boyer
, David Tarjan, Kevin Skadron
:
Federation: Boosting per-thread performance of throughput-oriented manycore architectures. ACM Trans. Archit. Code Optim. 7(4): 19:1-19:38 (2010) - [j28]Jong Sung Lee, Kevin Skadron
, Sung Woo Chung:
Predictive Temperature-Aware DVFS. IEEE Trans. Computers 59(1): 127-133 (2010) - [c65]Peter Bakkum, Kevin Skadron
:
Accelerating SQL database operations on a GPU with CUDA. GPGPU 2010: 94-103 - [c64]Zhenyu Qi, Brett H. Meyer, Wei Huang, Robert J. Ribando, Kevin Skadron
, Mircea R. Stan
:
Temperature-to-power mapping. ICCD 2010: 384-389 - [c63]Shuai Che, Jeremy W. Sheaffer, Michael Boyer, Lukasz G. Szafaryn, Liang Wang, Kevin Skadron
:
A characterization of the Rodinia benchmark suite with comparison to contemporary CMP workloads. IISWC 2010: 1-11 - [c62]Jiayuan Meng, Jeremy W. Sheaffer, Kevin Skadron
:
Exploiting inter-thread temporal locality for chip multithreading. IPDPS 2010: 1-12 - [c61]Matthew A. Goodrum, Michael J. Trotter, Alla Aksel, Scott T. Acton, Kevin Skadron
:
Parallelization of Particle Filter Algorithms. ISCA Workshops 2010: 139-149 - [c60]Jiayuan Meng, David Tarjan, Kevin Skadron
:
Dynamic warp subdivision for integrated branch and memory divergence tolerance. ISCA 2010: 235-246 - [c59]David Tarjan, Kevin Skadron:
The Sharing Tracker: Using Ideas from Cache Coherence Hardware to Reduce Off-Chip Memory Traffic with Non-Coherent Caches. SC 2010: 1-10
2000 – 2009
- 2009
- [j27]Kevin Skadron
:
Letter from the Editor. IEEE Comput. Archit. Lett. 8(2): 39 (2009) - [c58]Jiayuan Meng, Kevin Skadron
:
Avoiding cache thrashing due to private data placement in last-level cache for manycore scaling. ICCD 2009: 282-288 - [c57]Jiayuan Meng, Kevin Skadron
:
Performance modeling and automatic ghost zone optimization for iterative stencil loops on GPUs. ICS 2009: 256-265 - [c56]Shuai Che, Michael Boyer
, Jiayuan Meng, David Tarjan, Jeremy W. Sheaffer, Sang-Ha Lee
, Kevin Skadron
:
Rodinia: A benchmark suite for heterogeneous computing. IISWC 2009: 44-54 - [c55]Michael Boyer
, David Tarjan, Scott T. Acton, Kevin Skadron
:
Accelerating leukocyte tracking using CUDA: A case study in leveraging manycore coprocessors. IPDPS 2009: 1-12 - [c54]Wei Huang, Kevin Skadron
, Sudhanva Gurumurthi, Robert J. Ribando, Mircea R. Stan
:
Differentiating the roles of IR measurement and simulation for power and temperature-aware design. ISPASS 2009: 1-10 - [c53]David Tarjan, Jiayuan Meng, Kevin Skadron
:
Increasing memory miss tolerance for SIMD cores. SC 2009 - 2008
- [j26]Shuai Che, Michael Boyer
, Jiayuan Meng, David Tarjan, Jeremy W. Sheaffer, Kevin Skadron
:
A performance study of general-purpose applications on graphics processors using CUDA. J. Parallel Distributed Comput. 68(10): 1370-1380 (2008) - [j25]John Nickolls, Ian Buck, Michael Garland, Kevin Skadron
:
Scalable Parallel Programming with CUDA. ACM Queue 6(2): 40-53 (2008) - [j24]Sung Woo Chung, Kevin Skadron
:
On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. IEEE Trans. Computers 57(1): 7-24 (2008) - [j23]Wei Huang, Karthik Sankaranarayanan, Kevin Skadron
, Robert J. Ribando, Mircea R. Stan
:
Accurate, Pre-RTL Temperature-Aware Design Using a Parameterized, Geometric Thermal Model. IEEE Trans. Computers 57(9): 1277-1288 (2008) - [c52]Tibor Horvath, Kevin Skadron
:
Multi-mode energy management for multi-tier server clusters. PACT 2008: 270-279 - [c51]Wei Huang, Mircea R. Stan
, Karthik Sankaranarayanan, Robert J. Ribando, Kevin Skadron:
Many-core design from a thermal perspective. DAC 2008: 746-749 - [c50]David Tarjan, Michael Boyer, Kevin Skadron:
Federation: repurposing scalar cores for out-of-order instruction issue. DAC 2008: 772-775 - [c49]Henry Cook, Kevin Skadron:
Predictive design space exploration using genetically programmed response surfaces. DAC 2008: 960-965 - [c48]Shuai Che, Jie Li, Jeremy W. Sheaffer, Kevin Skadron
, John C. Lach:
Accelerating Compute-Intensive Applications with GPUs and FPGAs. SASP 2008: 101-107 - [c47]John Nickolls, Ian Buck, Michael Garland, Kevin Skadron
:
Scalable parallel programming with CUDA. SIGGRAPH Classes 2008: 16:1-16:14 - 2007
- [j22]Kevin Skadron
, Pradip Bose, Kanad Ghose, Resit Sendag, Joshua J. Yi, Derek Chiou:
Low-Power Design and Temperature Management. IEEE Micro 27(6): 46-57 (2007) - [j21]Tibor Horvath, Tarek F. Abdelzaher, Kevin Skadron
, Xue Liu:
Dynamic Voltage Scaling in Multitier Web Servers with End-to-End Delay Control. IEEE Trans. Computers 56(4): 444-458 (2007) - [j20]Zhijian Lu, Wei Huang, Mircea R. Stan
, Kevin Skadron
, John C. Lach:
Interconnect Lifetime Prediction for Reliability-Aware Systems. IEEE Trans. Very Large Scale Integr. Syst. 15(2): 159-172 (2007) - [c46]Eric Humenay, David Tarjan, Kevin Skadron:
Impact of process variations on multicore performance symmetry. DATE 2007: 1653-1658 - [c45]Jeremy W. Sheaffer, David P. Luebke, Kevin Skadron:
A hardware redundancy and recovery mechanism for reliable scientific computation on graphics processors. Graphics Hardware 2007: 55-64 - [c44]Tibor Horvath, Kevin Skadron
, Tarek F. Abdelzaher:
Enhancing Energy Efficiency in Multi-tier Web Server Clusters via Prioritization. IPDPS 2007: 1-6 - 2006
- [j19]Jean-Luc Gaudiot, Yale N. Patt, Kevin Skadron:
Foreword. IEEE Comput. Archit. Lett. 5(2) (2006) - [j18]Michele Co, Dee A. B. Weikle, Kevin Skadron
:
Evaluating trace cache energy efficiency. ACM Trans. Archit. Code Optim. 3(4): 450-476 (2006) - [j17]Wei Huang, Shougata Ghosh, Sivakumar Velusamy, Karthik Sankaranarayanan, Kevin Skadron
, Mircea R. Stan
:
HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design. IEEE Trans. Very Large Scale Integr. Syst. 14(5): 501-513 (2006) - [c43]Sung Woo Chung, Kevin Skadron:
Using Branch Prediction Information for Near-Optimal I-Cache Leakage. Asia-Pacific Computer Systems Architecture Conference 2006: 24-37 - [c42]Kevin Dale, Jeremy W. Sheaffer, Vinu Vijay Kumar, David P. Luebke, Greg Humphreys, Kevin Skadron
:
Applications of Small-Scale Reconfigurability to Graphics Processors. ARC 2006: 99-108 - [c41]Zhijian Lu, Yan Zhang, Mircea R. Stan
, John C. Lach, Kevin Skadron:
Procrastinating voltage scheduling with discrete frequency sets. DATE 2006: 456-461 - [c40]Jeremy W. Sheaffer, David P. Luebke, Kevin Skadron
:
The Visual Vulnerability Spectrum: Characterizing Architectural Vulnerability for Graphics Hardware. Graphics Hardware 2006: 9-16 - [c39]Yingmin Li, Benjamin C. Lee, David M. Brooks, Zhigang Hu, Kevin Skadron
:
CMP design space exploration subject to physical constraints. HPCA 2006: 17-28 - [c38]Sung Woo Chung, Kevin Skadron
:
A Novel Software Solution for Localized Thermal Problems. ISPA 2006: 63-74 - [e3]Erik R. Altman, Kevin Skadron, Benjamin G. Zorn:
15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006. ACM 2006, ISBN 1-59593-264-X [contents] - 2005
- [j16]Karthik Sankaranarayanan, Sivakumar Velusamy, Mircea R. Stan, Kevin Skadron:
A Case for Thermal-Aware Floorplanning at the Microarchitectural Level. J. Instr. Level Parallelism 7 (2005) - [j15]Zhijian Lu, John C. Lach, Mircea R. Stan
, Kevin Skadron
:
Improved Thermal Management with Reliability Banking. IEEE Micro 25(6): 40-49 (2005) - [j14]John W. Haskins Jr., Kevin Skadron
:
Accelerated warmup for sampled microarchitecture simulation. ACM Trans. Archit. Code Optim. 2(1): 78-108 (2005) - [j13]David Tarjan, Kevin Skadron
:
Merging path and gshare indexing in perceptron branch prediction. ACM Trans. Archit. Code Optim. 2(3): 280-300 (2005) - [c37]Yan Zhang, Zhijian Lu, John C. Lach, Kevin Skadron
, Mircea R. Stan
:
Optimal procrastinating voltage scheduling for hard real-time systems. DAC 2005: 905-908 - [c36]Theo Ungerer, Josep Lluís Larriba-Pey, Kevin Skadron
, Pedro Trancoso:
Topic 7 - Parallel Computer Architecture and ILP. Euro-Par 2005: 485-485 - [c35]Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron
:
Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. HPCA 2005: 71-82 - [c34]Kyeong-Jae Lee, Kevin Skadron
, Wei Huang:
Analytical Model for Sensor Placement on Microprocessors. ICCD 2005: 24-30 - [c33]Sivakumar Velusamy, Wei Huang, John C. Lach, Mircea R. Stan
, Kevin Skadron
:
Monitoring Temperature in FPGA based SoCs. ICCD 2005: 634-640 - [c32]Kyeong-Jae Lee, Kevin Skadron
:
Using Performance Counters for Runtime Temperature Sensing in High-Performance Processors. IPDPS 2005 - [c31]Yingmin Li, Mark Hempstead, Patrick Mauro, David M. Brooks, Zhigang Hu, Kevin Skadron
:
Power and thermal effects of SRAM vs. Latch-Mux design styles and clock gating choices. ISLPED 2005: 173-178 - [c30]Wei Huang, Eric Humenay, Kevin Skadron
, Mircea R. Stan
:
The need for a full-chip and package thermal model for thermally optimized IC designs. ISLPED 2005: 245-250 - [c29]Jeremy W. Sheaffer, Kevin Skadron
, David P. Luebke:
Studying Thermal Management for Graphics-Processor Architectures. ISPASS 2005: 54-65 - [c28]Jeremy W. Sheaffer, Kevin Skadron, David P. Luebke:
Fine-grained graphics architectural simulation with Qsilver. SIGGRAPH Posters 2005: 118 - 2004
- [j12]Kevin Skadron
, Mircea R. Stan
, Karthik Sankaranarayanan, Wei Huang, Sivakumar Velusamy, David Tarjan:
Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optim. 1(1): 94-125 (2004) - [j11]Philo Juang, Kevin Skadron
, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras:
Implementing branch-predictor decay using quasi-static memory cells. ACM Trans. Archit. Code Optim. 1(2): 180-219 (2004) - [j10]Karthik Sankaranarayanan, Kevin Skadron
:
Profile-based adaptation for cache decay. ACM Trans. Archit. Code Optim. 1(3): 305-322 (2004) - [j9]Dharmesh Parikh, Kevin Skadron
, Yan Zhang, Mircea R. Stan
:
Power-Aware Branch Prediction: Characterization and Design. IEEE Trans. Computers 53(2): 168-186 (2004) - [c27]Wei Huang, Mircea R. Stan
, Kevin Skadron
, Karthik Sankaranarayanan, Shougata Ghosh, Sivakumar Velusamy:
Compact thermal modeling for temperature-aware design. DAC 2004: 878-883 - [c26]Kevin Skadron
:
Hybrid Architectural Dynamic Thermal Management. DATE 2004: 10-15 - [c25]Yingmin Li, Dharmesh Parikh, Yan Zhang, Karthik Sankaranarayanan, Mircea R. Stan
, Kevin Skadron
:
State-Preserving vs. Non-State-Preserving Leakage Control in Caches. DATE 2004: 22-29 - [c24]Jeremy W. Sheaffer, David P. Luebke, Kevin Skadron
:
A flexible simulation framework for graphics architectures. Graphics Hardware 2004: 85-94 - [c23]Zhijian Lu, Wei Huang, John C. Lach, Mircea R. Stan
, Kevin Skadron:
Interconnect lifetime prediction under dynamic stress for reliability-aware design. ICCAD 2004: 327-334 - [c22]John C. Lach, Jason Brandon, Kevin Skadron
:
A General Post-Processing Approach to Leakage Current Reduction in SRAM-Based FPGAs. ICCD 2004: 144-150 - [c21]Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron
, Pradip Bose:
Understanding the energy efficiency of simultaneous multithreading. ISLPED 2004: 44-49 - [c20]Jeremy W. Sheaffer, Kevin Skadron
, David P. Luebke:
Temperature-aware GPU design. SIGGRAPH Posters 2004: 100 - 2003
- [j8]Kevin Skadron
, Margaret Martonosi, David I. August, Mark D. Hill, David J. Lilja, Vijay S. Pai:
Challenges in Computer Architecture Evaluation. Computer 36(8): 30-36 (2003) - [j7]Mircea R. Stan
, Kevin Skadron
:
Guest Editors' Introduction: Power-Aware Computing. Computer 36(12): 35-38 (2003) - [j6]Zhijian Lu, John C. Lach, Mircea R. Stan
, Kevin Skadron
:
Alloyed Branch History: Combining Global and Local Branch History for Robust Performance. Int. J. Parallel Program. 31(2): 137-177 (2003) - [j5]Kevin Skadron
, Mircea R. Stan
, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan:
Temperature-Aware Computer Systems: Opportunities and Challenges. IEEE Micro 23(6): 52-61 (2003) - [j4]Mircea R. Stan
, Kevin Skadron
, Marco Barcella, Wei Huang, Karthik Sankaranarayanan, Sivakumar Velusamy:
HotSpot: a dynamic compact thermal model at the processor-architecture level. Microelectron. J. 34(12): 1153-1165 (2003) - [c19]Zhijian Lu, John C. Lach, Mircea R. Stan
, Kevin Skadron:
Reducing Multimedia Decode Power using Feedback Control. ICCD 2003: 489- - [c18]Kevin Skadron, Mircea R. Stan
, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan:
Temperature-Aware Microarchitecture. ISCA 2003: 2-13 - [c17]John W. Haskins Jr., Kevin Skadron
:
Memory reference reuse latency: Accelerated warmup for sampled microarchitecture simulation. ISPASS 2003: 195-203 - [c16]Vivek Sharma, Arun Thomas, Tarek F. Abdelzaher, Kevin Skadron, Zhijian Lu:
Power-aware QoS Management in Web Servers. RTSS 2003: 63-72 - 2002
- [j3]Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Zhigang Hu, Margaret Martonosi, Douglas W. Clark:
Implementing Decay Techniques using 4T Quasi-Static Memory Cells. IEEE Comput. Archit. Lett. 1 (2002) - [c15]Zhijian Lu, Jason Hein, Marty Humphrey, Mircea R. Stan
, John C. Lach, Kevin Skadron
:
Control-theoretic dynamic frequency and voltage scaling for multimedia workloads. CASES 2002: 156-163 - [c14]Kevin Skadron
, Tarek F. Abdelzaher, Mircea R. Stan
:
Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. HPCA 2002: 17-28 - [c13]Dharmesh Parikh, Kevin Skadron
, Yan Zhang, Marco Barcella, Mircea R. Stan
:
Power Issues Related to Branch Prediction. HPCA 2002: 233-244 - [c12]Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi:
Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. ICCD 2002: 442-445 - [c11]Zhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
Managing leakage for transient data: decay and quasi-static 4T memory cells. ISLPED 2002: 52-55 - [c10]Yan Zhang, John C. Lach, Kevin Skadron, Mircea R. Stan:
Odd/even bus invert with two-phase transfer for buses with coupling. ISLPED 2002: 80-83 - [c9]Kevin Skadron
:
A microprocessor survey course for learning advanced computer architecture. SIGCSE 2002: 152-156 - [c8]Mircea R. Stan
, Kevin Skadron
:
Teaching processor architecture with a VLSI perspective. WCAE 2002: 3 - [e2]Yale N. Patt, Dirk Grunwald, Kevin Skadron:
29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA. IEEE Computer Society 2002, ISBN 0-7695-1605-X [contents] - 2001
- [c7]John W. Haskins Jr., Kevin Skadron:
Minimal Subset Evaluation: Rapid Warm-Up for Simulated Hardware State. ICCD 2001: 32-39 - [c6]Michele Co, Kevin Skadron
:
The effects of context switching on branch predictor performance. ISPASS 2001: 77-84 - [e1]Yale N. Patt, Josh Fisher, Paolo Faraboschi, Kevin Skadron:
Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001. ACM/IEEE Computer Society 2001, ISBN 0-7695-1369-7 [contents] - 2000
- [j2]Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
Speculative Updates of Local and Global Branch History: A Quantitative Analysis. J. Instr. Level Parallelism 2 (2000) - [c5]Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
A Taxonomy of Branch Mispredictions, and Alloyed Prediction as a Robust Solution to Wrong-History Mispredictions. IEEE PACT 2000: 199-206 - [c4]Kevin Skadron:
A microprocessor survey course: exploring advanced computer architecture in practice. WCAE 2000: 3
1990 – 1999
- 1999
- [j1]Kevin Skadron
, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark:
Branch Prediction, Instruction-Window Size, and Cache Size: Performance Trade-Offs and Simulation Techniques. IEEE Trans. Computers 48(11): 1260-1281 (1999) - 1998
- [c3]Pritpal S. Ahuja, Kevin Skadron, Margaret Martonosi, Douglas W. Clark:
Multipath Execution: Opportunities and Limits. International Conference on Supercomputing 1998: 101-108 - [c2]Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark:
Improving Prediction for Procedure Returns with Return-address-stack Repair Mechanisms. MICRO 1998: 259-271 - 1997
- [c1]Kevin Skadron, Douglas W. Clark:
Design Issues and Tradeoffs for Write Buffers. HPCA 1997: 144-155
Coauthor Index
aka: Mircea Stan
aka: Tommy James Tracy II
![](https://dblp.uni-trier.de./img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from ,
, and
to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and
to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-01-21 00:15 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint