


default search action
22nd ICECS 2015: Cairo, Egypt
- 2015 IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2015, Cairo, Egypt, December 6-9, 2015. IEEE 2015, ISBN 978-1-5090-0246-7
- Yehya H. Ghallab, Yehea Ismail:
Tutorial 1: Lab-on-a-chip based on CMOS technology: Parts, applications, challenges and future trends. - Michael Zwerger, Pantelis-Rafail Vlachas
, Helmut Graeb:
A fast analytical approach for static power-down mode analysis. 1-4 - Sara Pashmineh, Dirk Killat:
Design of a high-voltage driver based on low-voltage CMOS with an adapted level shifter optimized for a wide range of supply voltage. 5-8 - Amr M. AbdelAty, Ahmed Soltan
, Waleed A. Ahmed
, Ahmed G. Radwan
:
Low pass filter design based on fractional power chebyshev polynomial. 9-12 - Georgios Georgis, Georgios Menoutis, Dionysios I. Reisis, Konstantinos S. Tsakalis, Ashfaque Bin Shafique:
Towards real-time neuronal connectivity assessment: A scalable pipelined parallel generalized partial directed coherence engine. 13-16 - Mohammed Abo-Zahhad
, Sabah M. Ahmed, Sherif N. Abbas:
A new biometric authentication system using heart sounds based on wavelet packet features. 17-20 - Ismail Abdelwahab, Hanady Hussien Issa, Mostafa Farghaly, Hani Fikry Ragai
:
Multi-lead ECG using two ZigBee nodes. 21-24 - Dmitry Osipov
, Steffen Paul
:
A novel HV-switch scheme with gate-source overvoltage protection for bidirectional neural interfaces. 25-28 - Fatma Taher
, Naoufel Werghi
, Hussain Al-Ahmad
:
Rule based classification of sputum images for early lung cancer detection. 29-32 - Rania A. Elsayed, Mohammed Sharaf Sayed, Mahmoud I. Abdalla:
Skin-based adaptive background subtraction for hand gesture segmentation. 33-36 - Gamal Fahmy, Abdullah Alqallaf, Rolf P. Würtz:
Phase based detection of JPEG counter forensics. 37-40 - Farhan A. Alenizi, Fadi J. Kurdahi
, Ahmed M. Eltawil
, Abdullah Aljumah
:
DWT-based watermarking technique for video authentication. 41-44 - Weiwei Shi, Linqing Fu, Chiu-sing Choy:
Subthreshold passive RFID tag's baseband processor core design with custom modules and cells. 45-48 - Azam Beg, Ajmal Beg, Amr Elchouemi:
XOR gates for low-energy and near-Vth operation. 49-52 - Omid Mirmotahari
, Ali Dadashi, Mehdi Azadmehr, Yngvar Berg:
Novel high-speed dynamic differential ultra low voltage logic for supply-voltage below 300 mV. 53-56 - Yngvar Berg, Omid Mirmotahari
:
Low-voltage and high-speed CMOS circuit design with low-power mode. 57-60 - Ali Dadashi, Omid Mirmotahari
, Yngvar Berg:
An ultra-low-voltage, semi-floating-gate, domino, dual-rail, NOR gate. 61-64 - Alfio Dario Grasso
, Davide Marano
, Gaetano Palumbo, Salvatore Pennisi
:
195-nW 120-dB subthreshold CMOS OTA driving up to 200 pF and occupying only 4.4-10-3 mm2. 65-68 - Ugur Cini, Emre Arslan:
A high gain and low-offset current-mode instrumentation amplifier using differential difference current conveyors. 69-72 - Alessandra Pipino
, Alessandro Pezzotta, Federica Resta
, Marcello De Matteis
, Andrea Baschirotto
:
A rail-to-rail-input chopper instrumentation amplifier in 28nm CMOS. 73-76 - Ahmad Hassan
, Benoit Gosselin
, Mohamad Sawan:
Ultra-low power CMOS voltage reference for high temperature applications up to 300°C. 77-80 - Ameer Mohammed
, Virgilio Valente, Richard H. Bayford, Andreas Demosthenous
:
Output stage of a dynamic current steering deep brain stimulator. 81-84 - Saheed Tijani, Danilo Manstretta
:
A SAW-less receiver front-end with low power active self-interference canceler. 89-92 - Huiqiao He, Yang Kang, Jia Yu, Linfei Guo
, Tong Ge, Joseph S. Chang:
A novel low-power high-efficiency 3-state filterless bang-bang class D amplifier. 93-96 - Diogo B. Santana, Hamilton Klimach, Eric E. Fabris, Sergio Bampi
:
A power controlled RF CMOS class-E PA with 43% maximum efficiency in 2.2 GHz. 97-100 - Raafat Lababidi
, Marc Le Roy
, D. Le Jeune, André Pérennec, Remy Vauche, Sylvain Bourdel, Jean Gaubert:
Compact highly selective passive notch filter for 3.1-5 GHz UWB receiver system. 101-104 - Sherif M. Sharroush:
A novel self-referenced ferroelectric-memory readout scheme. 105-108 - Sherif M. Sharroush:
An alternative to CMOS stacks based on a floating-gate transistor. 109-112 - Ahmad Alsayyid Daoud, Ahmed A. S. Dessouki, Sherif M. Abuelenin
:
Low power differential three transistors two memristors based RRAM cell. 113-116 - Massimo Alioto, Elio Consoli, Gaetano Palumbo:
Comparative analysis of the robustness of master-slave flip-flops against variations. 117-120 - Alexandra L. Zimpeck, Cristina Meinhardt
, Gracieli Posser, Ricardo Reis
:
Process variability in FinFET standard cells with different transistor sizing techniques. 121-124 - Mariem Slimani, Lirida A. B. Naviner
:
A tool for transient fault analysis in combinational circuits. 125-128 - Gracieli Posser, Vivek Mishra, Palkesh Jain, Ricardo Reis
, Sachin S. Sapatnekar
:
Impact on performance, power, area and wirelength using electromigration-aware cells. 129-132 - Walter E. Calienes Bartra
, Andrei Vladimirescu, Ricardo Reis
:
Bulk and FDSOI Sub-micron CMOS transistors resilience to single-event transients. 133-136 - Felipe Rosa, Raphael Martins Brum, Gilson I. Wirth
, Luciano Ost
, Ricardo Reis
:
Impact of dynamic voltage scaling and thermal factors on FinFET-based SRAM reliability. 137-140 - Helder H. Avelar, Paulo F. Butzen
, Renato P. Ribas:
Automatic circuit generation for sequential logic debug. 141-144 - Omidreza Ghasemi:
Combination of inductive feedback and shunt peaking (IF+SHP) for bandwidth extension of transimpedance amplifiers. 145-148 - Hadi Ghasemzadeh Momen, Metin Yazgi
, Ramazan Köprü:
A low loss, low voltage and high Q active inductor with multi-regulated cascade stage for RF applications. 149-152 - Hasan Molaei, Ata Khorami, Mohammad S. Eslampanah Sendi, Khosrow Hajsadeghi:
A four bit low power 165MS/s flash-SAR ADC for sigma-delta ADC application. 153-156 - Nermine M. Edward
, Yehya H. Ghallab, Hassan M. Hassan, Yehea I. Ismail:
A CMOS based operational floating current conveyor. 157-160 - Andrea Donno, Stefano D'Amico, Marcello De Matteis
, Andrea Baschirotto
:
A 150MHz 3rd-order single Opamp continuous-time analog filter in 28nm CMOS technology. 161-164 - Antonio D. Reis
, José P. Carvalho, José F. Rocha, Atílio Gameiro:
Prefilter bandwidth effects in asynchronous sequential symbol synchronizers based on pulse comparison by hybrid transitions at half bit rate. 165-168 - Jordi Bonet-Dalmau
, Alexis Lopez-Riera
, Pere Palà-Schönwälder
, F. Xavier Moncunill-Geniz, Albert Babi-Oller:
Design and performance comparison of a superregenerative MPSK transceiver. 169-172 - Ahmed O. Abdul Salam
, Ray E. Sheriff
, Saleh R. Al-Araji, Kahtan A. Mezher
, Qassim Nasir
:
Multi-taper and MIMO techniques for spectrum sensing in cognitive radio. 173-178 - Matej Bartik
, Sven Ubik, Pavel Kubalík:
LZ4 compression algorithm on FPGA. 179-182 - Amr Hassan, Ramy Ahmed, Hassan Mostafa
, Hossam A. H. Fahmy, Ahmed Hussien:
Performance evaluation of dynamic partial reconfiguration techniques for software defined radio implementation on FPGA. 183-186 - S. H. Amer, Ahmed H. Madian
, Ahmed S. Emara:
Design and analysis of memristor-based min-max circuit. 187-190 - Mohammed Arezki Si Mohammed, Abdellatif Bellar
, Y. Bentoutou, A. Boudjemai, R. Roubache:
A comparative study of nadir attitude pointing satellite solutions. 191-196 - Sergio Callegari:
Evaluation of a couple of true random number generators with liberally licensed hardware, firmware, and drivers. 197-200 - Mohamed E. Fouda, Ahmed G. Radwan
, Ahmed S. Elwakil
, N. K. Nawayseh:
Review of the missing mechanical element: Memdamper. 201-204 - Zaher Merhi, Oussama Tahan, Samih Abdul-Nabi, Amin Haj-Ali, Magdy A. Bayoumi:
Decentralized clustering in VANET using adaptive resonance theory. 205-208 - R. R. Gharieb, Garas Gendy
, Hany Selim:
Fuzzy C-means algorithm incorporating local data and membership information for noisy medical image segmentation. 209-212 - Adnane Kara, Amine Miled, Jesse Greener:
Automated electrode array for in-channel electrochemical detection. 213-216 - Mohamed A. Abd El-Ghany
, Michael S. Saleab, Ramez M. Toma, Klaus Hofmann:
Efficient wearable real-time vital signs monitoring system. 217-220 - Mohammad S. Eslampanah Sendi, Mohsen Judy, Hasan Molaei, Amir M. Sodagar, Mohammad Sharifkhani:
Wireless interfacing to cortical neural recording implants using 4-FSK modulation scheme. 221-224 - Young-Ho Kim, Eunok Kim, Wonjong Kim
:
Low power 2.5-Gb/s CMOS burst-mode transimpedance amplifier with fast response time using a novel peak detection circuit. 225-228 - Sherif M. Saad, Hisham M. Hamed, Ahmed F. Shalash:
Timing recovery in DVB-T2 using multi-rate farrow structure. 229-232 - Hany A. Atallah
, Adel B. Abd El-Rahman
, Kuniaki Yoshitomi, Ramesh K. Pokharel:
Novel compact tunable bandpass filter using capacitively loaded H-shaped resonator. 233-236 - Nessim Mahmoud
, Adel Barakat
, Anwer S. Abd El-Hameed
, Adel B. Abd El-Rahman
, Ahmed Allam, Ramesh K. Pokharel:
Study of SiO2 thickness effect on insertion loss of CMOS 60 GHz band pass filter. 237-239 - Ghada H. Ibrahim, Amr N. Hafez:
An 8-PSK digital phase detection technique for super-regenerative receivers. 240-243 - Diaa Abdelrahman
, Mohamed Atef
, Mohamed Abbas, Mohamed Abdelgawad:
Low power transimpedance amplifier using current reuse with dual feedback. 244-247 - Paolo Motto Ros
, Marco Crepaldi
, Chiara Bartolozzi, Danilo Demarchi
:
Asynchronous DC-free serial protocol for event-based AER systems. 248-251 - Muhammad Akmal Chaudhary
, Jonathan Lees, Johannes Benedikt
, Paul J. Tasker:
Modulated waveform measurement and engineering system. 252-255 - Nada Elgaml, Heba Raafat, Aya Halim, Aya Abdeldayem, Nourhan Mahmoud, Ahmed K. F. Khattab, Ahmed H. Zahran
:
Experimental evaluation of opportunistic access in shared contention-based channels. 260-263 - Rola Almahainy, Nazar T. Ali
, Saleh R. Al-Araji, Mohammed Ismail:
Impulsive noise mitigation for μ-law companded OFDM-based communication system. 264-267 - Khaled A. El-Gammal, Sameh A. Ibrahim
:
Design of a 10Gsps TI-flash ADC with modified clocking scheme. 268-271 - Hyuk Sun, Jason Muhlestein, Un-Ku Moon:
A VCO-based spatial averaging stochastic ADC. 272-275 - Juan Carlos Pena Ramos, Marian Verhelst
:
Redundancy effect on the performance of digitally-assisted SAR ADCs. 276-279 - Ahmed Badawy, Emad Hegazi:
A low voltage low power and high speed binary search analog to digital converter. 280-283 - Israel Sperotto, Hamilton Klimach, Sergio Bampi
:
Design and linearity analysis of a M-2M DAC for very low supply voltage. 284-287 - Abdullah G. Alharbi
, Zainulabideen J. Khalifa
, Mohamed E. Fouda, Masud H. Chowdhury:
A new simple emulator circuit for current controlled memristor. 288-291 - Mohamed E. Fouda, Ahmed G. Radwan
, Ahmed S. Elwakil
:
Series and parallel circuit models containing memristors and inverse memristors. 292-295 - Carlos Sánchez-López, Miguel Ángel Carrasco-Aguilar, F. E. Morales-Lopez:
Offset reduction on memristor emulator circuits. 296-299 - Michail G. Papoutsidakis, Dimitrios Tseles, Dimitrios D. Piromalis
:
Classical electrical circuitry to support modern control methods. 300-303 - Carlos Moratelli
, Sergio Johann Filho, Fabiano Hessel
:
Hardware-assisted interrupt delivery optimization for virtualized embedded platforms. 304-307 - Marcelo Ruaro
, Guilherme A. Madalozzo, Fernando Gehm Moraes
:
A hierarchical LST-based task scheduler for NoC-based MPSoCs with slack-time monitoring support. 308-311 - Douglas R. G. Silva, Fernando Gehm Moraes
:
Differentiation of MPSoCs message classes using multiple NoCs. 312-315 - Ramon Fernandes, Bruno S. Oliveira, Johanna Sepúlveda, César A. M. Marcon
, Fernando Gehm Moraes
:
A non-intrusive and reconfigurable access control to secure NoCs. 316-319 - Guilherme A. Madalozzo, Marcelo Mandelli, Luciano Ost
, Fernando Gehm Moraes
:
A platform-based design framework to boost many-core software development. 320-323 - Ali Ahmed, Salma Hesham, Mohamed A. Abd El Ghany
, Diana Göhringer, Klaus Hofmann:
Online bicast allocation algorithm for contention-free-routing NoCs. 324-327 - Ahmed Hamza, Sameh Ibrahim
, Mohamed El-Nozahi, Mohamed Dessouky:
A wideband 5 GHz digital PLL using a low-power two-step time-to-digital converter. 328-331 - Mickaël Dardaillon, Chadi Jabbour, Vason P. Srini:
Adaptive digital pre-distortion for future wireless transmitters. 332-335 - Mohamed Zgaren, Mohamad Sawan:
A high-sensitivity battery-less wake-up receiver for 915 MHz ISM band applications. 336-339 - Shugang Wei:
Fast signed-digit arithmetic circuits for residue number systems. 344-347 - Guilherme Paim
, Mateus Fonseca, Eduardo A. C. da Costa
, Sérgio J. M. de Almeida:
Power efficient 2-D rounded cosine transform with adder compressors for image compression. 348-351 - Anderson Martins, Mateus Fonseca, Eduardo A. C. da Costa
:
Optimal combination of dedicated multiplication blocks and adder trees schemes for optimized radix-2m array multipliers realization. 352-355 - Imen Debbabi, Bertrand Le Gal, Nadia Khouja, Fethi Tlili, Christophe Jégo:
Analysis of ADMM-LP algorithm for LDPC decoding, a first step to hardware implementation. 356-359 - Doaa M. Elgabry, Mohamed M. Aboudina, Emad Hegazi:
A mathematical model of an ideally threshold compensated rectifier for RF energy harvesting. 360-363 - Josip Bacmaga, Raul Blecic, Renaud Gillon
, Adrijan Baric:
3D EM simulations and analysis of in-package metal plate interconnecting high-side and low-side FETs of DC-DC converter. 364-367 - Abdullah Abdulslam, Baker Mohammad
, Mohammad Ismail, Yehea Ismail:
A simple hybrid 3-level buck-boost DC-DC converter with efficient PWM regulation scheme. 368-371 - Abdullah G. Alharbi
, Mohamed E. Fouda, Masud H. Chowdhury:
A novel memristor emulator based only on an exponential amplifier and CCII+. 376-379 - Natale Demaria:
Recent ASICs developments in 65nm CMOS technology for high energy physics experiments. 380-383 - Federica Resta
, Marcello De Matteis
, G. Rota, Alessandro Pezzotta, Alessandra Pipino
, Andrea Baschirotto
:
IC-PIX28: A 28nm read-out channel for pixel detector. 384-387 - Mahdi Ahangarianabhari, Giuseppe Bertuccio
, Stefano Caccia, Marco Grassi
, Daniele Macera, Piero Malcovati
:
From StarX32 to VEGA: Low-power and low-noise mixed-signal ASICs for X-ray detectors in space and medical applications. 388-391 - Alberto Annovi, Andrea Baschirotto
, Matteo M. Beretta, Nicolo Vladi Biesuz, Saverio Citraro, Francesco Crescioli, Marcello De Matteis
, Federico Fary, Luca Frontini
, Paola Giannetti, Valentino Liberali
, Pierluigi Luciano, Fabrizio Palla
, Alessandro Pezzotta, Seyedruhollah Shojaii
, Calliope-Louisa Sotiropoulou
, Alberto Stabile
:
A XOR-based associative memory block in 28 nm CMOS for interdisciplinary applications. 392-395 - Luca Frontini
, Valentino Liberali
, Seyedruhollah Shojaii
, Alberto Stabile
:
Double-redundant design methodology to improve radiation hardness in pixel detector readout ICs. 396-399 - Taehui Na
, Hanwool Jeong, Seong-Ook Jung, Jung Pill Kim, Seung-Hyuk Kang:
Efficiency analysis of importance sampling in deep submicron STT-RAM design using uncontrollable industry-compatible model parameter. 400-403 - Nariman A. Khalil
, Rania F. Ahmed, Rania Ahmed Abul Seoud, Ahmed M. Soliman:
An intelligent technique for generating equivalent KHN circuits using genetic algorithm. 404-407 - Ahmed M. El-Shafiey, Mohamed E. Farag, Mohammed A. El-Motaz, Omar A. Nasr, Hossam A. H. Fahmy:
Two-stage optimization of CORDIC-friendly FFT. 408-411 - Mahesh Zanwar, Subhajit Sen:
Switch selection & sizing in CMOS implementation of variable output switched capacitor step-down DC-DC converter. 412-415 - Mahmoud Fawzy Wagdy, Sanjay Subba Rao
, Krishna Kant Singh, Ghada H. Ibrahim:
An 8-PSK receiver using an integrated low-noise amplifier & super-regenerative oscillator with digital detection technique. 416-420 - Jason Muhlestein, Hariprasath Venkatram, Jon Guerber, Allen Waters, Un-Ku Moon:
Bit-error-rate analysis and mixed signal triple modular redundancy methods for data converters. 421-424 - Safaa A. Mohammed, Sameh A. Ibrahim
, S. E.-D. Habib:
6-Gb/s serial link transceiver for NoCs. 425-428 - Ahmed S. Emara, Ahmed H. Madian
, Hassanein H. Amer, S. H. Amer:
High coverage test for the second generation current conveyor. 429-432 - Khaled Nouh, Hossam A. H. Fahmy:
Binary floating point verification using random test vector generation based on SV constraints. 433-436 - Mohamed H. El-Mahlawy
:
Signature multi-mode hardware-based self-test architecture for digital integrated circuits. 437-441 - Buse Ustaoglu, Berna Örs Yalçin
:
Fault tolerant register file design for MIPS AES-crypto microprocessor. 442-445 - Mokhtar Aboelaze, Mohamed Ghazy Shehata:
Implementation of multiple PID controllers on FPGA. 446-449 - Julio F. R. Oliveira, Leonardo Bandeira Soares, Eduardo A. C. da Costa
, Sergio Bampi
:
Energy-efficient Gaussian filter for image processing using approximate adder circuits. 450-453 - Amgad A. Ghonem, Mostafa F. Farid, Mohamed Dessouky:
Optimal design of 6T SRAM bitcells for ultra low-voltage operation. 454-457 - Mostafa F. Farid, Amgad A. Ghonem, Mohamed Dessouky:
An 8kb SRAM macro in 65nm for ultra-low voltage applications operating from 1.2V to 0.5V. 458-461 - Osama Abdelkader, Hassan Mostafa
, Hamdy Abd Elhamid
, Ahmed M. Soliman:
Impact of technology scaling on the minimum energy point for FinFET based flip-flops. 462-465 - Mohammed A. El-Motaz, M. Wagih Ismail, Mohsen Raafat, Ali S. Faried, Mohammed A. Raghieb, Nassr M. Ismail, Sherif A. Hafez, Ahmed H. El-Kady, Esmaail A. El-Sayed, Mohamed A. Sharaf, Ibrahim Shazly, Wael E. Abd El-Kawi, Chadi M. Mohamed, Mohamed N. Elhidery, Karim Mohammed, Omar A. Nasr:
A highly scalable vector oriented ASIP-based multi-standard digital receiver. 466-469 - Tarek Ramadan, Eslam Yahya, Mohamed Dessouky, Yehea I. Ismail:
Coupling capacitance extraction in through-silicon via (TSV) arrays. 470-473 - Maged El-Sisi, Mohamed Dessouky:
Procedural analog design automation using building block optimization. 474-477 - Chong Li, Suriyaprakash Natarajan, Chuanjin Richard Shi:
Identifying DC bias conditions for maximum DC current in digitally-assisted analog design. 478-481 - Fathi A. Farag
, Mohamed F. Ibrahim
, Mohammed A. Shehata:
Automated analog circuit design and chip layout tool. 482-485 - Mohannad Elshawy, Mohamed Dessouky:
Incremental layout-aware analog design methodology. 486-489 - Amr S. Elsawy, Seif Eldawlatly
, Mohamed Taher
, Gamal M. Aly
:
Enhancement of mobile development of brain-computer platforms. 490-491 - Abdullah El-Bayoumi
, Hassan Mostafa
, Ahmed M. Soliman:
A new 16-bit low-power PVT-calibrated time-based differential Analog-to-Digital Converter (ADC) circuit in CMOS 65nm technology. 492-493 - Nermine M. Edward
, Yehya H. Ghallab, Hassan Mostafa
, Yehea I. Ismail:
A CMOS based operational floating current conveyor and its applications. 494-495 - Sherif Omar, Hassan Mostafa
, Tawfik Ismail
, Salam Gabran:
Low-power implantable seizure detection processor. 496-497 - Ahmed Sadek, Hassan Mostafa
, Amin M. Nassar:
On the use of dynamic partial reconfiguration for multi-band/multi-standard software defined radio. 498-499 - Mostafa M. Ayesh
, Sameh A. Ibrahim
, Hani Fikry Ragai
, Mohamed M. Rizk:
A low-power high-speed charge-steering ADC-based equalizer for serial links. 500-501 - Bilal Malik, Xun Zhang
:
Solar panel receiver system implementation for visible light communication. 502-503 - Pavel Morozkin, Marc Swynghedauw, Maria Trocan:
Design of an embedded image acquisition system. 504-505 - Wafaa S. Sayed
, Abdel-Latif E. Hussien, Hossam A. H. Fahmy, Ahmed G. Radwan
:
Generalized chaotic maps and elementary functions between analysis and implementation. 506-507 - Rabab Ezz-Eldin, Magdy A. El-Moursy, Hesham F. A. Hamed:
Analysis and design of Network on Chip under high process variation. 508-509 - Mohammed A. El-Motaz, Ahmed M. El-Shafiey, Mohamed E. Farag, Omar A. Nasr, Hossam A. H. Fahmy:
Speeding-up fast fourier transform. 510-511 - Amr Kamel, Sameh Ibrahim
:
A 1.6-nA quiescent current bandgap reference in 130-nm CMOS technology. 512-515 - M. S. Saad, Amal Zaki, Taha E. Taha:
Design of withdrawal weighted transducer SAW filter for RF wireless applications. 516-518 - Bassem Safieldeen, Hassan Mostafa
, Hamdy Abd Elhamid
, Yehea Ismail:
A comparative evaluation of single-walled carbon nanotubes and copper in interconnects and Through-Silicon Vias. 519-522 - Marwa Abdallah, Hassan Mostafa
, Mohamed Fathy:
Yield optimization of spintronic memristor-based memory arrays. 523-526 - Mostafa Shadoufa, Ahmed Emad, Maged Ghoneima, Mohamed A. E. Mahmoud, Mohamed Dessouky:
Structure optimization for efficient AlN piezoelectric energy harvesters. 527-530 - Chinmaya Mahapatra, Pouya Kamalinejad, Thanos Stouraitis
, Shahriar Mirabbasi, Victor C. M. Leung:
Low-complexity energy-efficient security approach for e-health applications based on physically unclonable functions of sensors. 531-534 - Rodrigo Santos de Souza, João Ladislau Lopes, Cláudio F. R. Geyer, Cleiton Garcia, Patricia Davet, Adenauer C. Yamin:
Context awareness in UbiComp: An IoT oriented distributed architecture. 535-538 - Tamer Farouk, Mohamed M. Elkhatib
, Mohamed Dessouky:
A 1V low-power low-noise biopotential amplifier based on flipped voltage follower. 539-542 - Soliman A. Mahmoud
, Aisha A. Alhammadi
:
A CMOS digitally programmable OTA based instrumentation amplifier for EEG detection system. 543-546 - Allen Waters, Jason Muhlestein, Un-Ku Moon:
Analysis of metastability errors in asynchronous SAR ADCs. 547-550 - Mariem Kanoun, Houda Daoud, Hassène Mnif, Mourad Loulou:
Wide band LC VCO with automatic amplitude controller for IEEE 802.22 cognitive radio receiver. 551-554 - Mohammed El-Shennawy, Mohamed Eissa, Markus Schulz, Niko Joram, Frank Ellinger:
A scalable synchronous reload technique for wide division range multi modulus dividers. 555-558 - Imen Ghorbel, Fayrouz Haddad, Hervé Barthélemy, Wenceslas Rahajandraibe
, Mourad Loulou, Hassène Mnif:
Design and implementation of an inductorless digitally controlled oscillator based on CMOS inverters. 559-562 - Sushrant Monga, Shouri Chatterjee
:
A variable interval enhanced jitter tolerant programmable bandwidth blind-oversampling CDR for multi-gigabit rates. 563-566 - Eman O. Farhat, Kristian Zarb Adami, Owen Casha
, Ivan Grech, Jan Geralt bij de Vaate:
Design of a wideband CMOS LNA for low frequency band SKA application. 567-571 - Bruno Boessio Vizzotto
, Volnei Mazui, Sergio Bampi
:
Area efficient and high throughput CABAC encoder architecture for HEVC. 572-575 - Bianca Silveira, Cláudio Machado Diniz, Mateus Fonseca, Eduardo A. C. da Costa
:
SATD hardware architecture based on 8×8 Hadamard Transform for HEVC encoder. 576-579 - Muhammad K. Ibrahim, Mahmoud H. Ismail
, M. Watheq El-Kharashi:
A novel scheduling algorithm for mmWave mesh networks using packet aggregation. 580-583 - Hongjian Wang, Abdelkhalek Mansouri, Jean-Charles Créput:
Massively parallel cellular matrix model for self-organizing map applications. 584-587 - Mohamed Tantawy, Rafik Guindi, Mohamed Dessouky, Mohamed Al-Imam
:
Parameterized test patterns methodology for layout design rule checking verification. 588-591 - M. Tarek Ibn Ziad
, Yousra Alkabani, M. Watheq El-Kharashi, Khaled Salah, Mohamed Abdelsalam:
Accelerating electromagnetic simulations: A hardware emulation approach. 592-595 - Eslam Yahya, Hatem Zakaria
, Yehea Ismail:
Deadlock detection in conditional asynchronous circuits under mismatched branch selection. 596-600 - Josef Dobes, David Cerný, Frantisek Vejrazka, Václav Navrátil
:
Comparing the steady-state procedures based on epsilon-algorithm and sensitivity analysis. 601-604 - M. Samy, A. M. Bassiuny
:
Online bearing fault detection using linear prediction and nonlinear energy operator. 605-608 - Vagner Guidotti, Eduardo A. C. da Costa
, Sérgio J. M. de Almeida, Mateus Fonseca:
Floating-point adaptive filter architectures for the cancelling of harmonics power line interference. 609-612 - Mona Safar, Ashraf Salem
:
Solving constraints in FPGA detailed routing using SMT. 613-616 - Khaled E. Ahmed, Mohammed M. Farag
:
Hardware/software co-design of a dynamically configurable SHA-3 System-on-Chip (SoC). 617-620 - Khaled E. Ahmed, Mohammed M. Farag
:
Parallel overloaded CDMA interconnect (OCI) bus architecture for on-chip communications. 621-624 - Stefano Ciccotosto, Nevio Benvenuto:
Interference alignment techniques for multi-user MIMO systems at millimeter-wave. 625-628 - Souhail Haggui, Fatma Rouissi, Yosra Mlayeh, Fethi Tlili:
Gaussian random number generator design based on double non-uniform segmentation. 629-632 - Johannes Wüthrich, Alexios Balatsoukas-Stimming, Andreas Burg
:
An FPGA-based accelerator for rapid simulation of SC decoding of polar codes. 633-636 - Nicholas Preyss, Andreas Burg
:
Digital synchronization for symbol-spaced IEEE802.11ad Gigabit mmWave systems. 637-640 - M. S. Albahri, Mohammed Benaissa:
Parallel comba multiplication in GF(2163) using homogenous multicore microcontroller. 641-644 - Mohammad Hassan Shahid, Shahid Masud:
Improved low power scheduler for OSS-7: An open source DASH7 stack. 645-648 - Ugur Cini, Olcay Kurt:
A MAC unit with double carry-save scheme suitable for 6-input LUT based reconfigurable systems. 649-652 - Ugur Cini, Mustafa Aktan:
High performance FIR filter design for 6-input LUT based FPGAs. 653-656 - Andre L. M. Martins, Marcelo Ruaro
, Fernando Gehm Moraes
:
Hierarchical energy monitoring for many-core systems. 657-660 - Rafael B. Schivittz
, Cristina Meinhardt
, Paulo F. Butzen
:
An evaluation of BTI degradation of 32nm standard cells. 661-664 - Thanasis Oikonomou, Dimitrios Kritharidis, Spyridon Spyridakis, Konstantinos Stamatis:
A high-capacity aggregation solution for fiber to the curb and building over XG-PON. 665-668 - Andreas Foteas, Nikolaos Liakopoulos, Georgios Menoutis, Ioannis Patronas, Christoforos Kachris
, Dimitrios Klonidis:
Design of Optical Network Unit (ONU) for hybrid TDM/WDM NG-PON. 669-672 - Georgios Menoutis, Andreas Foteas, Nikolaos Liakopoulos, Georgios Georgis, Dionysios I. Reisis, George Synnefakis:
A configurable transmitter architecture & organization for XG-PON OLT/ONU/ONT network elements. 673-676 - Giannis Giannoulis, Nikos Iliadis, Dimitrios Apostolopoulos
, Paraskevas Bakopoulos, Hercules Avramopoulos
, Ville-Markus Korpijarvi, Jaakko Makela, Jukka Viheriala, Mircea Guina
:
1.55-μm Dilute Nitride SOAs with low temperature sensitivity for coolerless on-chip operation. 677-680 - Tawfik Ismail
, Hassan Mostafa
, Yehea Ismail:
Performance evaluation of wavelength exchanging in optical interconnect. 681-684 - Ahmed Omar
, Ahmad Baraka
, Ahmed H. Zaki, Karam A. Sharshar
:
Metal oxide films/structures for gamma radiation detection. 685-688 - Marco Trevisi, Ricardo Carmona-Galán
, Jorge Fernández-Berni, Ángel Rodríguez-Vázquez:
On the design of a sparsifying dictionary for compressive image feature extraction. 689-692 - Hossam Khalil, Dongkyu Kim, Joonsik Nam, Kyihwan Park:
Operational deflection shape of rotating object using tracking laser Doppler vibrometer. 693-696 - Mohammed Abo-Zahhad
, Mohammed Farrag
, Abdelhay Ali:
Modeling and minimization of energy consumption in wireless sensor networks. 697-700 - Ahmed El-Samman, Omar Ahmed, Stefano Gregori
:
Modelling extended-lifetime wireless sensor networks. 701-704

manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.