default search action
Sotirios Xydis
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j41]Andreas Kosmas Kakolyris, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
SLO-Aware GPU DVFS for Energy-Efficient LLM Inference Serving. IEEE Comput. Archit. Lett. 23(2): 150-153 (2024) - [j40]Charalampos Marantos, Achilleas Tzenetopoulos, Sotirios Xydis, Dimitrios Soudris:
Cometes: Cross-Device Mapping for Energy and Time-Aware Deployment on Edge Infrastructures. IEEE Embed. Syst. Lett. 16(2): 98-101 (2024) - [j39]Aggelos Ferikoglou, Andreas Kosmas Kakolyris, Vasilis Kypriotis, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
CollectiveHLS: Ultrafast Knowledge-Based HLS Design Optimization. IEEE Embed. Syst. Lett. 16(2): 235-238 (2024) - [j38]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Orchestration Extensions for Interference- and Heterogeneity-Aware Placement for Data-Analytics. Int. J. Parallel Program. 52(4): 298-323 (2024) - [j37]Dimitrios Giagkos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
AI-Driven QoS-Aware Scheduling for Serverless Video Analytics at the Edge. Inf. 15(8): 480 (2024) - [j36]Dimosthenis Masouros, George Retsinas, Sotirios Xydis, Dimitrios Soudris:
Sparkle: Deep Learning Driven Autotuning for Taming High-Dimensionality of Spark Deployments. IEEE Trans. Cloud Comput. 12(4): 1058-1073 (2024) - [c87]Aggelos Ferikoglou, Andreas Kosmas Kakolyris, Vasilis Kypriotis, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
Data-driven HLS optimization for reconfigurable accelerators. DAC 2024: 309:1-309:6 - [c86]Dimosthenis Masouros, Aggelos Ferikoglou, Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris:
Late Breaking Results: Language-level QoR modeling for High-Level Synthesis. DAC 2024: 351:1-351:2 - [c85]Elisavet Lydia Alvanaki, Manolis Katsaragakis, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Decoupled Access-Execute Enabled DVFS for TinyML Deployments on STM32 Microcontrollers. DATE 2024: 1-6 - [c84]Konstantina Koliogeorgi, George Anagnostopoulos, Gerardo Zampino, Marcial Sanchis, Ricardo Vinuesa, Sotirios Xydis:
Auto-tuning Multi-GPU High-Fidelity Numerical Simulations for Urban Air Mobility. DATE 2024: 1-6 - [c83]Georgios Anagnostopoulos, Nikolaos Zompakis, Sotirios Xydis:
Dynamic Frequency Boosting of RISC-V FPSoCs Through Monitoring Runtime Path Activations. DSD 2024: 377-384 - [c82]Achilleas Tzenetopoulos, Michele Gazzetti, Dimosthenis Masouros, Christian Pinto, Sotirios Xydis, Dimitrios Soudris:
Disaggregated RDDs: Extending and Analyzing Apache Spark for Memory Disaggregated Infrastructures. IC2E 2024: 107-117 - [c81]Ishita Chaturvedi, Bhargav Reddy Godala, Yucan Wu, Ziyang Xu, Konstantinos Iliakis, Panagiotis-Eleftherios Eleftherakis, Sotirios Xydis, Dimitrios Soudris, Tyler Sorensen, Simone Campanoni, Tor M. Aamodt, David I. August:
GhOST: a GPU Out-of-Order Scheduling Technique for Stall Reduction. ISCA 2024: 1-16 - [e1]João Bispo, Sotirios Xydis, Serena Curzel, Luís Miguel Sousa:
15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2024, January 18, 2024, Munich, Germany. OASIcs 116, Schloss Dagstuhl - Leibniz-Zentrum für Informatik 2024, ISBN 978-3-95977-307-2 [contents] - [i7]Elisavet Lydia Alvanaki, Manolis Katsaragakis, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Decoupled Access-Execute enabled DVFS for tinyML deployments on STM32 microcontrollers. CoRR abs/2407.03711 (2024) - [i6]Giorgos Armeniakos, Alexis Maras, Sotirios Xydis, Dimitrios Soudris:
Mixed-precision Neural Networks on RISC-V Cores: ISA extensions for Multi-Pumped Soft SIMD Operations. CoRR abs/2407.14274 (2024) - [i5]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Leveraging Core and Uncore Frequency Scaling for Power-Efficient Serverless Workflows. CoRR abs/2407.18386 (2024) - [i4]Andreas Kosmas Kakolyris, Dimosthenis Masouros, Petros Vavaroutsos, Sotirios Xydis, Dimitrios Soudris:
SLO-aware GPU Frequency Scaling for Energy Efficient LLM Inference Serving. CoRR abs/2408.05235 (2024) - [i3]Anastassis Kapetanakis, Aggelos Ferikoglou, George Anagnostopoulos, Sotirios Xydis:
Dataflow Optimized Reconfigurable Acceleration for FEM-based CFD Simulations. CoRR abs/2411.16245 (2024) - 2023
- [j35]Achilleas Tzenetopoulos, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
DVFaaS: Leveraging DVFS for FaaS Workflows. IEEE Comput. Archit. Lett. 22(2): 85-88 (2023) - [c80]Dimitrios Giagkos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis:
Darly: Deep Reinforcement Learning for QoS-aware scheduling under resource heterogeneity Optimizing serverless video analytics. CLOUD 2023: 1-3 - [c79]Konstantina Koliogeorgi, Dimitrios Soudris, Sotirios Xydis:
Profile-Driven Banded Smith-Waterman acceleration for Short Read Alignment. DAC 2023: 1-6 - [c78]Dimosthenis Masouros, Christian Pinto, Michele Gazzetti, Sotirios Xydis, Dimitrios Soudris:
Adrias: Interference-Aware Memory Orchestration for Disaggregated Cloud Infrastructures. HPCA 2023: 855-869 - [c77]Christos Panagiotis Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
The Unexpected Efficiency of Bin Packing Algorithms for Dynamic Storage Allocation in the Wild: An Intellectual Abstract. ISMM 2023: 58-70 - [c76]Christos Panagiotis Lamprakos, Sotirios Xydis, Peter Kourzanov, Manu Perumkunnil, Francky Catthoor, Dimitrios Soudris:
Beyond RSS: Towards Intelligent Dynamic Memory Management (Work in Progress). MPLR 2023: 158-164 - [i2]Christos P. Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
Viewing Allocators as Bin Packing Solvers Demystifies Fragmentation. CoRR abs/2304.10862 (2023) - [i1]Christos P. Lamprakos, Sotirios Xydis, Francky Catthoor, Dimitrios Soudris:
The Unexpected Efficiency of Bin Packing Algorithms for Dynamic Storage Allocation in the Wild: An Intellectual Abstract. CoRR abs/2305.01497 (2023) - 2022
- [j34]Konstantina Koliogeorgi, Sotirios Xydis, Georgi Gaydadjiev, Dimitrios Soudris:
GANDAFL: Dataflow Acceleration for Short Read Alignment on NGS Data. IEEE Trans. Computers 71(11): 3018-3031 (2022) - [j33]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
Repurposing GPU Microarchitectures with Light-Weight Out-Of-Order Execution. IEEE Trans. Parallel Distributed Syst. 33(2): 388-402 (2022) - [j32]Konstantinos Iliakis, Helga Timko, Sotirios Xydis, Panagiotis Tsapatsaris, Dimitrios Soudris:
Enabling Large Scale Simulations for Particle Accelerators. IEEE Trans. Parallel Distributed Syst. 33(10): 4425-4439 (2022) - [c75]Ioannis Fakinos, Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Sequence Clock: A Dynamic Resource Orchestrator for Serverless Architectures. CLOUD 2022: 81-90 - [c74]Achilleas Tzenetopoulos, Dimosthenis Masouros, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris, Antony Chazapis, Christos Kozanitis, Angelos Bilas, Christian Pinto, Huy-Nam Nguyen, Stelios Louloudakis, Georgios Gardikis, George Vamvakas, Michelle Aubrun, Christi Symeonidou, Vassilis Spitadakis, Konstantinos F. Xylogiannopoulos, Bernhard Peischl, Tahir Emre Kalayci, Alexander Stocker, Jean-Thomas Acquaviva:
EVOLVE: Towards Converging Big-Data, High-Performance and Cloud-Computing Worlds. DATE 2022: 975-980 - [c73]Nikolaos Zompakis, Sotirios Xydis:
Dynamic Frequency Boosting Beyond Critical Path Delay. ICCAD 2022: 48:1-48:8 - [c72]Vasileios Leon, Georgios Makris, Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris:
MAx-DNN: Multi-Level Arithmetic Approximation for Energy-Efficient DNN Hardware Accelerators. LASCAS 2022: 1-4 - [c71]Konstantina Koliogeorgi, Dimitris Mylonakis, Sotirios Xydis, Dimitrios Soudris:
High Level Synthesis Acceleration of Change Detection in Multi-Temporal High Resolution Sentinel-2 Satellite Images. VLSI-SoC 2022: 1-6 - 2021
- [j31]Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Rusty: Runtime Interference-Aware Predictive Monitoring for Modern Multi-Tenant Systems. IEEE Trans. Parallel Distributed Syst. 32(1): 184-198 (2021) - [c70]Dimitra Nikitopoulou, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Performance Analysis and Auto-tuning for SPARK in-memory analytics. DATE 2021: 76-81 - [c69]Konstantina Koliogeorgi, Fekhr Eddine Keddous, Dimosthenis Masouros, Antony Chazapis, Michelle Aubrun, Sotirios Xydis, Angelos Bilas, Romain Hugues, Jean-Thomas Acquaviva, Huy-Nam Nguyen, Dimitrios Soudris:
FPGA acceleration in EVOLVE's Converged Cloud-HPC Infrastructure. FPL 2021: 376-377 - [c68]Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris:
FPGA Acceleration of Short Read Alignment. HEART 2021: 13:1-13:2 - [c67]Aggelos Ferikoglou, Dimosthenis Masouros, Achilleas Tzenetopoulos, Sotirios Xydis, Dimitrios Soudris:
Resource Aware GPU Scheduling in Kubernetes Infrastructure. PARMA-DITAM@HiPEAC 2021: 4:1-4:12 - [c66]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Interference-Aware Workload Placement for Improving Latency Distribution of Converged HPC/Big Data Cloud Infrastructures. SAMOS 2021: 108-123 - [c65]Achilleas Tzenetopoulos, Charalampos Marantos, Giannos Gavrielides, Sotirios Xydis, Dimitrios Soudris:
FADE: FaaS-inspired application decomposition and Energy-aware function placement on the Edge. SCOPES 2021: 7-10 - [c64]Achilleas Tzenetopoulos, Evangelos Apostolakis, Aphrodite Tzomaka, Christos Papakostopoulos, Konstantinos Stavrakakis, Manolis Katsaragakis, Ioannis Oroutzoglou, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
FaaS and Curious: Performance Implications of Serverless Functions on Edge Computing Platforms. ISC Workshops 2021: 428-438 - 2020
- [c63]Konstantinos Iliakis, Helga Timko, Sotirios Xydis, Dimitrios Soudris:
Scale-out beam longitudinal dynamics simulations. CF 2020: 29-38 - [c62]Sotirios Xydis, Eleftherios-Iordanis Christoforidis, Dimitrios Soudris:
DDOT: Data Driven Online Tuning for energy efficient acceleration. DAC 2020: 1-6 - [c61]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
Resource-Aware MapReduce Runtime for Multi/Many-core Architectures. DATE 2020: 897-902 - [c60]Ioannis Oroutzoglou, Dimosthenis Masouros, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris:
Exploration of GPU sharing policies under GEMM workloads. SCOPES 2020: 66-69 - [c59]Achilleas Tzenetopoulos, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Interference-Aware Orchestration in Kubernetes. ISC Workshops 2020: 321-330
2010 – 2019
- 2019
- [j30]Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
Rusty: Runtime System Predictability Leveraging LSTM Neural Networks. IEEE Comput. Archit. Lett. 18(2): 103-106 (2019) - [j29]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
LOOG: Improving GPU Efficiency With Light-Weight Out-Of-Order Execution. IEEE Comput. Archit. Lett. 18(2): 166-169 (2019) - [j28]Vasileios Leon, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Energy-efficient VLSI implementation of multipliers with double LSB operands. IET Circuits Devices Syst. 13(6): 816-821 (2019) - [j27]Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano:
Workload- and process-variation aware voltage/frequency tuning for energy efficient performance sustainability of NTC manycores. Integr. 65: 252-262 (2019) - [j26]Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Multi-Level Approximate Accelerator Synthesis Under Voltage Island Constraints. IEEE Trans. Circuits Syst. II Express Briefs 66-II(4): 607-611 (2019) - [j25]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Oops: Optimizing Operation-mode Selection for IoT Edge Devices. ACM Trans. Internet Techn. 19(2): 22:1-22:21 (2019) - [c58]Vasileios Leon, Konstantinos Asimakopoulos, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Cooperative Arithmetic-Aware Approximation Techniques for Energy-Efficient Multipliers. DAC 2019: 160 - [c57]Dimosthenis Masouros, Konstantina Koliogeorgi, Georgios Zervakis, Alexandra Kosvyra, Achilleas Chytas, Sotirios Xydis, Ioanna Chouvarda, Dimitrios Soudris:
Co-design Implications of Cost-effective On-demand Acceleration for Cloud Healthcare Analytics: The AEGLE approach. DATE 2019: 622-625 - [c56]Konstantina Koliogeorgi, Nils Voss, Sotiria Fytraki, Sotirios Xydis, Georgi Gaydadjiev, Dimitrios Soudris:
Dataflow Acceleration of Smith-Waterman with Traceback for High Throughput Next Generation Sequencing. FPL 2019: 74-80 - [c55]Spyridon Mouselinos, Vasileios Leon, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
TF2FPGA: A Framework for Projecting and Accelerating Tensorflow CNNs on FPGA Platforms. MOCAST 2019: 1-4 - 2018
- [j24]Eleftherios-Iordanis Christoforidis, Sotirios Xydis, Dimitrios Soudris:
CF-TUNE: Collaborative Filtering Auto-Tuning for Energy Efficient Many-Core Processors. IEEE Comput. Archit. Lett. 17(1): 25-28 (2018) - [j23]Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris:
Decoupled MapReduce for Shared-Memory Multi-Core Architectures. IEEE Comput. Archit. Lett. 17(2): 143-146 (2018) - [j22]Vasileios Leon, Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Walking through the Energy-Error Pareto Frontier of Approximate Multipliers. IEEE Micro 38(4): 40-49 (2018) - [j21]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Distributed Trade-Based Edge Device Management in Multi-Gateway IoT. ACM Trans. Cyber Phys. Syst. 2(3): 17:1-17:25 (2018) - [j20]Efstathios Sotiriou-Xanthopoulos, Leonard Masing, Sotirios Xydis, Kostas Siozios, Jürgen Becker, Dimitrios Soudris:
OpenCL-based Virtual Prototyping and Simulation of Many-Accelerator Architectures. ACM Trans. Embed. Comput. Syst. 17(5): 86:1-86:27 (2018) - [j19]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
Application-Arrival Rate Aware Distributed Run-Time Resource Management for Many-Core Computing Platforms. IEEE Trans. Multi Scale Comput. Syst. 4(3): 285-298 (2018) - [j18]Georgios Zervakis, Fotios Ntouskas, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
VOSsim: A Framework for Enabling Fast Voltage Overscaling Simulation for Approximate Computing Circuits. IEEE Trans. Very Large Scale Integr. Syst. 26(6): 1204-1208 (2018) - [c54]Konstantinos Iliakis, Helga Timko, Sotirios Xydis, Dimitrios Soudris:
BLonD++: performance analysis and optimizations for enabling complex, accurate and fast beam dynamics studies. SAMOS 2018: 123-130 - 2017
- [j17]Vasileios Tsoutsouras, Dimosthenis Masouros, Sotirios Xydis, Dimitrios Soudris:
SoftRM: Self-Organized Fault-Tolerant Resource Management for Failure Detection and Recovery in NoC Based Many-Cores. ACM Trans. Embed. Comput. Syst. 16(5s): 144:1-144:19 (2017) - [j16]Vasileios Tsoutsouras, Konstantina Koliogeorgi, Sotirios Xydis, Dimitrios Soudris:
An Exploration Framework for Efficient High-Level Synthesis of Support Vector Machines: Case Study on ECG Arrhythmia Detection for Xilinx Zynq SoC. J. Signal Process. Syst. 88(2): 127-147 (2017) - [c53]Michail Doukas, Sotirios Xydis, Dimitrios Soudris:
Dataflow Acceleration of scikit-learn Gaussian Process Regression. PARMA-DITAM@HiPEAC 2017: 1-6 - [c52]Konstantina Koliogeorgi, Dimosthenis Masouros, Georgios Zervakis, Sotirios Xydis, Tobias Becker, Georgi Gaydadjiev, Dimitrios Soudris:
AEGLE's Cloud Infrastructure for Resource Monitoring and Containerized Accelerated Analytics. ISVLSI 2017: 362-367 - 2016
- [j15]Vasileios Tsoutsouras, Dimitra Azariadi, Sotirios Xydis, Dimitrios Soudris:
Effective Learning and Filtering of Faulty Heart-Beats for Advanced ECG Arrhythmia Detection using MIT-BIH Database. EAI Endorsed Trans. Pervasive Health Technol. 2(8): e5 (2016) - [j14]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
An Integrated Exploration and Virtual Platform Framework for Many-Accelerator Heterogeneous Systems. ACM Trans. Embed. Comput. Syst. 15(3): 43:1-43:26 (2016) - [j13]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
A Framework for Interconnection-Aware Domain-Specific Many-Accelerator Synthesis. ACM Trans. Embed. Comput. Syst. 16(1): 8:1-8:26 (2016) - [j12]Kostas Tsoumanis, Sotirios Xydis, Georgios Zervakis, Kiamal Z. Pekmestzi:
Flexible DSP Accelerator Architecture Exploiting Carry-Save Arithmetic. IEEE Trans. Very Large Scale Integr. Syst. 24(1): 368-372 (2016) - [j11]Georgios Zervakis, Kostas Tsoumanis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Design-Efficient Approximate Multiplication Circuits Through Partial Product Perforation. IEEE Trans. Very Large Scale Integr. Syst. 24(10): 3105-3117 (2016) - [c51]Farzad Samie, Vasileios Tsoutsouras, Sotirios Xydis, Lars Bauer, Dimitrios Soudris, Jörg Henkel:
Distributed QoS management for internet of things under resource constraints. CODES+ISSS 2016: 9:1-9:10 - [c50]Andreas-Lazaros Georgiadis, Sotirios Xydis, Dimitrios Soudris:
Deploying and monitoring hadoop MapReduce analytics on single-chip cloud computer. PARMA-DITAM@HiPEAC 2016: 25-30 - [c49]Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano:
Throughput balancing for energy efficient near-threshold manycores. PATMOS 2016: 64-69 - [c48]Konstantinos Railis, Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
Energy profile analysis of Zynq-7000 programmable SoC for embedded medical processing: Study on ECG arrhythmia detection. PATMOS 2016: 275-282 - [c47]Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris:
Performance-power exploration of software-defined big data analytics: The AEGLE cloud backend. SAMOS 2016: 312-319 - [c46]Farzad Samie, Vasileios Tsoutsouras, Lars Bauer, Sotirios Xydis, Dimitrios Soudris, Jörg Henkel:
Computation offloading and resource allocation for low-power IoT edge devices. WF-IoT 2016: 7-12 - [p1]Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano:
Variability-Aware Voltage Island Management for Near-Threshold Computing with Performance Guarantees. Near Threshold Computing 2016: 35-53 - 2015
- [j10]Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris:
Mitigating Memory-Induced Dark Silicon in Many-Accelerator Architectures. IEEE Comput. Archit. Lett. 14(2): 136-139 (2015) - [j9]Sotirios Xydis, Gianluca Palermo, Vittorio Zaccaria, Cristina Silvano:
SPIRIT: Spectral-Aware Pareto Iterative Refinement Optimization for Supervised High-Level Synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(1): 155-159 (2015) - [j8]Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris:
GENESIS: Parallel Application Placement onto Reconfigurable Architectures (Invited for the Special Issue on Runtime Management). ACM Trans. Embed. Comput. Syst. 14(1): 18:1-18:26 (2015) - [c45]Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris:
Dynamic Memory Management in Vivado-HLS for Scalable Many-Accelerator Architectures. ARC 2015: 117-128 - [c44]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris, Leonidas Lymperopoulos:
SWAN-iCARE Project: On the Efficiency of FPGAs Emulating Wearable Medical Devices for Wound Management and Monitoring. ARC 2015: 499-510 - [c43]Andreas Raptopoulos, Sotirios Xydis, Dimitrios Soudris:
Reconfigurable Computing for Analytics Acceleration of Big Bio-Data: The AEGLE Approach. ARC 2015: 531-541 - [c42]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
Job-Arrival Aware Distributed Run-Time Resource Management on Intel SCC Manycore Platform. EUC 2015: 17-24 - [c41]Dionysios Diamantopoulos, Sotirios Xydis, Kostas Siozios, Dimitrios Soudris:
High-Level-Synthesis extensions for scalable Single-Chip Many-Accelerators on FPGAs. FPL 2015: 1-2 - [c40]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
Rapid prototyping and Design Space Exploration methodologies for many-accelerator systems. FPL 2015: 1-2 - [c39]Georgios Zervakis, Kostas Tsoumanis, Sotirios Xydis, Nicholas Axelos, Kiamal Z. Pekmestzi:
Approximate Multiplier Architectures Through Partial Product Perforation: Power-Area Tradeoffs Analysis. ACM Great Lakes Symposium on VLSI 2015: 229-232 - [c38]Georgios Zervakis, Sotirios Xydis, Kostas Tsoumanis, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Hybrid approximate multiplier architectures for improved power-accuracy trade-offs. ISLPED 2015: 79-84 - [c37]Dimitrios Soudris, Sotirios Xydis, Christos Baloukas, Anastasia Hadzidimitriou, Ioanna Chouvarda, Kostas Stamatopoulos, Nicos Maglaveras, John Chang, Andreas Raptopoulos, David Manset, Barbara K. Pierscionek, Reem Kayyali, Nada Y. Philip, Tobias Becker, Katerina Vaporidi, Eumorphia Kondili, Dimitrios Georgopoulos, Lesley Ann Sutton, Richard Rosenquist, Lydia Scarfo, Paolo Ghia:
AEGLE: A big bio-data analytics framework for integrated health-care services. SAMOS 2015: 246-253 - [c36]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos:
A virtual platform for exploring hierarchical interconnection for many-accelerator systems. SAMOS 2015: 384-389 - 2014
- [j7]Dimitris Bekiaris, Sotirios Xydis, George Economakos:
Systematic Design and Evaluation of Reconfigurable Arithmetic Components in the Deep submicron Domain. J. Circuits Syst. Comput. 23(10) (2014) - [j6]Kostas Tsoumanis, Sotirios Xydis, Constantinos Efstathiou, Nikolaos Moschopoulos, Kiamal Z. Pekmestzi:
An Optimized Modified Booth Recoder for Efficient Design of the Add-Multiply Operator. IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(4): 1133-1143 (2014) - [c35]Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano:
Variation-aware voltage island formation for power efficient near-threshold manycore architectures. ASP-DAC 2014: 304-310 - [c34]Cristina Silvano, Gianluca Palermo, Sotirios Xydis, Ioannis S. Stamelakos:
Voltage island management in near threshold manycore architectures to mitigate dark silicon. DATE 2014: 1-6 - [c33]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
Effective Platform-Level Exploration for Heterogeneous Multicores Exploiting Simulation-Induced Slacks. PARMA-DITAM@HiPEAC 2014: 13-16 - [c32]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos, Dimitrios Soudris:
Hardware accelerated rician denoise algorithm for high performance magnetic resonance imaging. MobiHealth 2014: 222-225 - [c31]Isabelle Texier, Sotirios Xydis, Dimitrios Soudris, Pierre R. Marcoux, Pascale Pham, Marie Muller, Marc Correvon, Gabriela Dudnik, Guy Voirin, Jan Kristenssen, Massimo Laurenza, Andreas Raptopoulos, Carl Saxby, Thierry Navarro, Fabio Di Francesco, Pietro Salvo, Marco Romanelli, Leonidas Lymberopoulos:
SWAN-iCare project: Towards smart wearable and autonomous negative pressure device for wound monitoring and therapy. MobiHealth 2014: 357-360 - [c30]Vasileios Tsoutsouras, Sotirios Xydis, Dimitrios Soudris:
A HW/SW framework emulating wearable devices for remote wound monitoring and management. MobiHealth 2014: 369-372 - [c29]Marie Muller, Alison M. Foote, Pierre-Yves Benhamou, Sandra David-Tchouda, Sotirios Xydis:
Patient expectations "vis-à-vis" an innovative remote therapeutic device: Case of chronic wounds in diabetic patients. MobiHealth 2014: 373-376 - [c28]Efstathios Sotiriou-Xanthopoulos, Sotirios Xydis, Kostas Siozios, George Economakos:
Co-design of many-accelerator heterogeneous systems exploiting virtual platforms. ICSAMOS 2014: 1-8 - 2013
- [c27]Sotirios Xydis, Gianluca Palermo, Vittorio Zaccaria, Cristina Silvano:
A meta-model assisted coprocessor synthesis framework for compiler/architecture parameters customization. DATE 2013: 659-664 - [c26]Sotirios Xydis, Gianluca Palermo, Cristina Silvano:
Thermal-aware datapath merging for coarse-grained reconfigurable processors. DATE 2013: 1649-1654 - [c25]Amir Hossein Ashouri, Vittorio Zaccaria, Sotirios Xydis, Gianluca Palermo, Cristina Silvano:
A framework for Compiler Level statistical analysis over customized VLIW architecture. VLSI-SoC 2013: 124-129 - 2012
- [j5]Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Compiler-in-the-loop exploration during datapath synthesis for higher quality delay-area trade-offs. ACM Trans. Design Autom. Electr. Syst. 18(1): 11:1-11:35 (2012) - [j4]Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris:
A Systematic Methodology for Reliability Improvements on SoC-Based Software Defined Radio Systems. VLSI Design 2012: 784945:1-784945:15 (2012) - 2011
- [j3]Iraklis Anagnostopoulos, Sotirios Xydis, Alexandros Bartzas, Zhonghai Lu, Dimitrios Soudris, Axel Jantsch:
Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations. IEEE Embed. Syst. Lett. 3(2): 66-69 (2011) - [j2]Sotirios Xydis, George Economakos, Dimitrios Soudris, Kiamal Z. Pekmestzi:
High Performance and Area Efficient Flexible DSP Datapath Synthesis. IEEE Trans. Very Large Scale Integr. Syst. 19(3): 429-442 (2011) - [c24]Sotirios Xydis, Ioannis S. Stamelakos, Alexandros Bartzas, Dimitrios Soudris:
Runtime Tuning of Dynamic Memory Management For Mitigating Footprint-Fragmentation Variations. ARCS Workshops 2011 - [c23]Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris:
Thermal optimization for micro-architectures through selective block replication. ICSAMOS 2011: 59-66 - 2010
- [c22]George Economakos, Sotirios Xydis, Ioannis Koutras, Dimitrios Soudris:
Construction of dual mode components for reconfiguration aware high-level synthesis. DATE 2010: 1357-1360 - [c21]Sotirios Xydis, Christos Skouroumounis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Designing efficient DSP datapaths through compiler-in-the-loop exploration methodology. ISCAS 2010: 2598-2601 - [c20]Cristina Silvano, William Fornaciari, Stefano Crespi-Reghizzi, Giovanni Agosta, Gianluca Palermo, Vittorio Zaccaria, Patrick Bellasi, Fabrizio Castro, Simone Corbetta, Andrea Di Biagio, Ettore Speziale, Michele Tartara, Diego Melpignano, J. M. Zins, David Siorpaes, Heiko Hübert, Benno Stabernack, Jens Brandenburg, Martin Palkovic, Praveen Raghavan, Chantal Ykman-Couvreur, Alexandros Bartzas, Sotirios Xydis, Dimitrios Soudris, Torsten Kempf, Gerd Ascheid, Rainer Leupers, Heinrich Meyr, Junaid Ansari, Petri Mähönen, Bart Vanthournout:
2PARMA: Parallel Paradigms and Run-time Management Techniques for Many-Core Architectures. ISVLSI (Selected papers) 2010: 65-79 - [c19]Sotirios Xydis, Christos Skouroumounis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
Efficient High Level Synthesis Exploration Methodology Combining Exhaustive and Gradient-Based Pruned Searching. ISVLSI 2010: 104-109 - [c18]Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
A High Level Synthesis Exploration Framework with Iterative Design Space Partitioning. ISVLSI (Selected papers) 2010: 117-131 - [c17]Bernard Candaele, Sylvain Aguirre, Michel Sarlotte, Iraklis Anagnostopoulos, Sotirios Xydis, Alexandros Bartzas, Dimitris Bekiaris, Dimitrios Soudris, Zhonghai Lu, Xiaowen Chen, Jean-Michel Chabloz, Ahmed Hemani, Axel Jantsch, Geert Vanmeerbeeck, Jari Kreku, Kari Tiensyrjä, Fragkiskos Ieromnimon, Dimitrios Kritharidis, Andreas Wiefrink, Bart Vanthournout, Philippe Martin:
The MOSART Mapping Optimization for Multi-Core ARchiTectures. ISVLSI (Selected papers) 2010: 181-195 - [c16]Sotirios Xydis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos:
High-Level Synthesis Methodologies for Delay-Area Optimized Coarse-Grained Reconfigurable Coprocessor Architectures. ISVLSI 2010: 486-487 - [c15]Cristina Silvano, William Fornaciari, Stefano Crespi-Reghizzi, Giovanni Agosta, Gianluca Palermo, Vittorio Zaccaria, Patrick Bellasi, Fabrizio Castro, Simone Corbetta, Andrea Di Biagio, Ettore Speziale, Michele Tartara, David Siorpaes, Heiko Hübert, Benno Stabernack, Jens Brandenburg, Martin Palkovic, Praveen Raghavan, Chantal Ykman-Couvreur, Alexandros Bartzas, Sotirios Xydis, Dimitrios Soudris, Torsten Kempf, Gerd Ascheid, Rainer Leupers, Heinrich Meyr, Junaid Ansari, Petri Mähönen, Bart Vanthournout:
2PARMA: Parallel Paradigms and Run-Time Management Techniques for Many-Core Architectures. ISVLSI 2010: 494-499 - [c14]Bernard Candaele, Sylvain Aguirre, Michel Sarlotte, Iraklis Anagnostopoulos, Sotirios Xydis, Alexandros Bartzas, Dimitris Bekiaris, Dimitrios Soudris, Zhonghai Lu, Xiaowen Chen, Jean-Michel Chabloz, Ahmed Hemani, Axel Jantsch, Geert Vanmeerbeeck, Jari Kreku, Kari Tiensyrjä, Fragkiskos Ieromnimon, Dimitrios Kritharidis, Andreas Wiefrink, Bart Vanthournout, Philippe Martin:
Mapping Optimisation for Scalable Multi-core ARchiTecture: The MOSART Approach. ISVLSI 2010: 518-523 - [c13]Sotirios Xydis, Alexandros Bartzas, Iraklis Anagnostopoulos, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Custom multi-threaded Dynamic Memory Management for Multiprocessor System-on-Chip platforms. ICSAMOS 2010: 102-109
2000 – 2009
- 2009
- [j1]Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi:
Designing coarse-grain reconfigurable architectures by inlining flexibility into custom arithmetic data-paths. Integr. 42(4): 486-503 (2009) - [c12]Sotirios Xydis, Ioannis Triantafyllou, George Economakos, Kiamal Z. Pekmestzi:
Flexible Datapath Synthesis through Arithmetically Optimized Operation Chaining. AHS 2009: 407-414 - [c11]George Economakos, Sotirios Xydis:
Optimized Reconfigurable RTL Components for Performance Improvements During High-Level Synthesis. DSD 2009: 164-171 - [c10]Dimitris Bekiaris, Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi:
A design methodology for high-performance and low-leakage fixed-point transpose FIR filters. ICECS 2009: 415-418 - [c9]George Economakos, Sotirios Xydis:
High-level synthesis with coarse grain reconfigurable components. IPDPS 2009: 1-4 - 2008
- [c8]Sotirios Xydis, George Economakos, Dimitrios Soudris, Kiamal Z. Pekmestzi:
Mapping DSP Applications onto High-Performance Architectural Templates with Inlined Flexibility. AHS 2008: 346-353 - [c7]George Economakos, Sotirios Xydis:
A Scheduling Postprocessor to Exploit Morphable RTL Components During High-Level Synthesis. DSD 2008: 494-499 - [c6]Sotirios Xydis, Isidoros Sideris, George Economakos, Kiamal Z. Pekmestzi:
A flexible architecture for DSP applications combining high performance arithmetic with small scale configurability. EUSIPCO 2008: 1-5 - 2007
- [c5]Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi:
A Reconfigurable Arithmetic Data-path Based On Regular Interconnection. AHS 2007: 342-349 - [c4]Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi:
A regular interconnection scheme for efficient mapping of DSP kernels into reconfigurable hardware. EUSIPCO 2007: 1004-1008 - [c3]George Economakos, Sotirios Xydis:
High-level synthesis heuristics for run-time reconfigurable architectures. EUSIPCO 2007: 1658-1662 - [c2]George Economakos, Christoforos E. Economakos, Sotirios Xydis:
Run-time reconfigurable solutions for adaptive control applications. ICINCO-SPSMC 2007: 208-213 - [c1]Sotirios Xydis, George Economakos, Kiamal Z. Pekmestzi:
Flexibility Inlining into Arithmetic Data-paths Exploiting A Regular Interconnection Scheme. ICSAMOS 2007: 137-144
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-01-27 00:43 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint