"Run-Time Power-Gating Techniques for Low-Power On-Chip Networks."

Hiroki Matsutani et al. (2011)

Details and statistics

DOI: 10.1007/978-1-4419-6911-8_2

access: closed

type: Part in Book or Collection

metadata version: 2024-03-21

a service of  Schloss Dagstuhl - Leibniz Center for Informatics