Search dblp for Publications

export results for "stream:conf/sbcci:"

more than 1000 matches, exporting first 1000 hits only!

 download as .bib file

@inproceedings{DBLP:conf/sbcci/AbichSGSRO23,
  author       = {Geancarlo Abich and
                  Anderson Ignacio da Silva and
                  Jonas Gava and
                  Altamiro Amadeu Susin and
                  Ricardo Augusto da Luz Reis and
                  Luciano Ost},
  title        = {Power and Performance Costs of Radiation-Hardened {ML} Inference Models
                  Running on Edge Devices},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261657},
  doi          = {10.1109/SBCCI60457.2023.10261657},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbichSGSRO23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AcunaFT23,
  author       = {C. Acu{\~{n}}a and
                  C. Flores and
                  Jimmy Tarrillo},
  title        = {FPGA-Based Brain-Computer Interface System for Real-Time Eye State
                  Classification},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261967},
  doi          = {10.1109/SBCCI60457.2023.10261967},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AcunaFT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmmesMBRR23,
  author       = {Gabriel Ammes and
                  Guilherme B. Manske and
                  Paulo F. Butzen and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {{ATMR} design by construction based on two-level {ALS}},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261973},
  doi          = {10.1109/SBCCI60457.2023.10261973},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmmesMBRR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BrascherSCSGG23,
  author       = {Andr{\'{e}} Beims Br{\"{a}}scher and
                  Gabriela Furtado Da Silveira and
                  Luiz Henrique Cancellier and
                  Ismael Seidel and
                  Mateus Grellert and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {A New Approach to Video Coding Leveraging Hybrid Coding and Video
                  Frame Interpolation},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261663},
  doi          = {10.1109/SBCCI60457.2023.10261663},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BrascherSCSGG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CastroMPL23,
  author       = {Mateus Castro and
                  Leonardo Sulato de Moraes and
                  Fabio Kelm Pereira and
                  Eduardo Rodrigues de Lima},
  title        = {A 1.2 V, 80-230 MHz, 1.75 mW Phase Locked Loop {N-} Integer Clock
                  Synthesizer},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261958},
  doi          = {10.1109/SBCCI60457.2023.10261958},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CastroMPL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ComaruFCM23,
  author       = {Gustavo Comar{\'{u}} and
                  Rafael Follmann Faccenda and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {Secure Network Interface for Protecting {IO} Communication in Many-cores},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261655},
  doi          = {10.1109/SBCCI60457.2023.10261655},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ComaruFCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DhilleswararaoGBRH23,
  author       = {Pudi Dhilleswararao and
                  Vamsi Goudu and
                  Srinivas Boppu and
                  Ritika Ratnu and
                  Ahmed Hemani},
  title        = {Implementation of Image Averaging on {DRRA} and DiMArch Architectures},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261953},
  doi          = {10.1109/SBCCI60457.2023.10261953},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DhilleswararaoGBRH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraADZ23,
  author       = {Rafael S. Ferreira and
                  Luciano Agostini and
                  Cl{\'{a}}udio Machado Diniz and
                  Bruno Zatt},
  title        = {Evaluation of Imprecise Subtractors into Test Zone Search for {VVC}
                  Encoding},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261956},
  doi          = {10.1109/SBCCI60457.2023.10261956},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraADZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraZNLB23,
  author       = {{\'{A}}llan G. Ferreira and
                  Lucas B. Zilch and
                  Vin{\'{\i}}cius Navarro and
                  Marcelo Soares Lubaszewski and
                  Tiago R. Balen},
  title        = {Towards a Machine Learning Based Method for Indirect Test Generation
                  of Mixed-Signal Circuits},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261952},
  doi          = {10.1109/SBCCI60457.2023.10261952},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraZNLB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoSCMGG23,
  author       = {Vanio Rodrigues Filho and
                  Ismael Seidel and
                  Nicole Citadin and
                  Marcio Monteiro and
                  Mateus Grellert and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {Low-Energy and Reduced-Area Hardware Architecture for the Versatile
                  Video Coding {FME}},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261654},
  doi          = {10.1109/SBCCI60457.2023.10261654},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoSCMGG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GewehrM23,
  author       = {Carlos Gabriel de Araujo Gewehr and
                  Fernando Gehm Moraes},
  title        = {Improving the Efficiency of Cryptography Algorithms on Resource-Constrained
                  Embedded Systems via {RISC-V} Instruction Set Extensions},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261964},
  doi          = {10.1109/SBCCI60457.2023.10261964},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GewehrM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GiallucaGMLAF23,
  author       = {Gabriel T. Gialluca and
                  Gustavo T. Gialluca and
                  Bruno Masiero and
                  Eduardo Rodrigues de Lima and
                  Larissa M. Almeida and
                  Fabiano Fruett},
  title        = {A Wireless Weatherproof Acoustic Sensor System to Detect Anomalies
                  in Substation Power Transformers},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261660},
  doi          = {10.1109/SBCCI60457.2023.10261660},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GiallucaGMLAF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GomesWRB23,
  author       = {Jiovana Sousa Gomes and
                  Rodrigo N. Wuerdig and
                  F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and
                  Sergio Bampi},
  title        = {{AV1} Residual Syntax Elements Assessment and Efficient {VLSI} Architecture},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261954},
  doi          = {10.1109/SBCCI60457.2023.10261954},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GomesWRB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JouniRWBF23,
  author       = {Zalfa Jouni and
                  Theo P. Rioufol and
                  Siqi Wang and
                  Aziz Benlarbi{-}Dela{\"{\i}} and
                  Pietro M. Ferreira},
  title        = {Jitter Noise Impact on Analog Spiking Neural Networks: {STDP} Limitations},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261661},
  doi          = {10.1109/SBCCI60457.2023.10261661},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JouniRWBF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KraanzlerKH23,
  author       = {Matthias Kr{\"{a}}anzler and
                  Andr{\'{e}} Kaup and
                  Christian Herglotz},
  title        = {Estimating Software and Hardware Video Decoder Energy Using Software
                  Decoder Profiling},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261662},
  doi          = {10.1109/SBCCI60457.2023.10261662},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KraanzlerKH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KuentzerGSK23,
  author       = {Felipe A. Kuentzer and
                  Christos Georgakidis and
                  Christos P. Sotiriou and
                  Milos Krstic},
  title        = {Addressing Single-Event-Multiple-Transient Faults in Asynchronous
                  RH-Click Controllers},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261666},
  doi          = {10.1109/SBCCI60457.2023.10261666},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KuentzerGSK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LealCNFN23,
  author       = {Stefan T. Couperus Leal and
                  Michael Canesche and
                  Omar P. Vilela Neto and
                  Ricardo S. Ferreira and
                  Jos{\'{e}} A. M. Nacif},
  title        = {A Non-Blocking Multistage Interconnection using Regular Clock Schemes
                  for {QCA} Circuits},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261968},
  doi          = {10.1109/SBCCI60457.2023.10261968},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LealCNFN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaBS23,
  author       = {M. P. Braga de Lima and
                  Fernando A. P. Bar{\'{u}}qui and
                  Carlos Fernando Teod{\'{o}}sio Soares},
  title        = {Voltage Regulator with Ellipsoidal Transistors},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261974},
  doi          = {10.1109/SBCCI60457.2023.10261974},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaBS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaNNAM23,
  author       = {Arthur Mendes Lima and
                  Lucas Giovanni Nardo and
                  Erivelton Geraldo Nepomuceno and
                  Janier Arias{-}Garcia and
                  Jones Yudi Mori},
  title        = {Design of an Advanced System-on-Chip Architecture for Chaotic Image
                  Encryption},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261963},
  doi          = {10.1109/SBCCI60457.2023.10261963},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaNNAM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MangrichFCSG23,
  author       = {Fillipi Mangrich and
                  Jo{\~{a}}o Gabriel Firta Foes and
                  Guilherme Correa and
                  Ismael Seidel and
                  Mateus Grellert},
  title        = {Energy and Computing Assessment of Video Processing Kernels on {CPU}
                  and {FPGA} platforms},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261966},
  doi          = {10.1109/SBCCI60457.2023.10261966},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MangrichFCSG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquesBWZBBM23,
  author       = {Cleiton Magano Marques and
                  Leonardo Heitich Brendler and
                  Fr{\'{e}}d{\'{e}}ric Wrobel and
                  Alexandra L. Zimpeck and
                  Walter E. Calienes Bartra and
                  Paulo F. Butzen and
                  Cristina Meinhardt},
  title        = {A Detailed Electrical Analysis of {SEE} on 28 nm {FDSOI} {SRAM} Architectures},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261665},
  doi          = {10.1109/SBCCI60457.2023.10261665},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquesBWZBBM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinBGA23,
  author       = {Timothy Martin and
                  Charlotte Barnes and
                  Gary William Grewal and
                  Shawki Areibi},
  title        = {{FPGA} Placement: Dynamic Decision Making Via Machine Learning},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261650},
  doi          = {10.1109/SBCCI60457.2023.10261650},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinBGA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MendozaPenalozaM23,
  author       = {Jose Mendoza{-}Pe{\~{n}}aloza and
                  Daniel M. Mu{\~{n}}oz},
  title        = {Hardware Implementation of a Sliding Detection Algorithm for Robotic
                  Hands Using Force Sensors},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261972},
  doi          = {10.1109/SBCCI60457.2023.10261972},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MendozaPenalozaM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MouraLPCOMG23,
  author       = {Nicolas Moura and
                  Joaquim Lucena and
                  Eduardo Pereira and
                  Ney Calazans and
                  Luciano Ost and
                  Fernando Moraes and
                  Rafael Garibotti},
  title        = {Assessment of Lightweight Cryptography Algorithms on {ARM} Cortex-M
                  Processors},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261962},
  doi          = {10.1109/SBCCI60457.2023.10261962},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MouraLPCOMG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MunozMPACP23,
  author       = {Marcello M. Mu{\~{n}}oz and
                  Denis Maass and
                  Murilo R. Perleberg and
                  Luciano Agostini and
                  Guilherme Corr{\^{e}}a and
                  Marcelo Schiavon Porto},
  title        = {4K UHD@60fps Design For The {VVC} Affine Motion Estimation Reconstructor},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261659},
  doi          = {10.1109/SBCCI60457.2023.10261659},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MunozMPACP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NespoloPBR23,
  author       = {Joao P. Nespolo and
                  Renato D. Peralta and
                  Paulo F. Butzen and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Effect of Unique Table Implementation in the Performance of {BDD}
                  Packages},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261960},
  doi          = {10.1109/SBCCI60457.2023.10261960},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NespoloPBR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NornbergMRGS23,
  author       = {Franciele Nornberg and
                  Marcio Bender Machado and
                  Rafael L. Radin and
                  Carlos Galup{-}Montoro and
                  M{\'{a}}rcio C. Schneider},
  title        = {Design of Oscillator and Charge Pump for the Startup of Ultra-Low-Voltage
                  Energy Harvesters},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261951},
  doi          = {10.1109/SBCCI60457.2023.10261951},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NornbergMRGS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunesSMMC23,
  author       = {Willian Analdo Nunes and
                  Marcos Luiggi Lemos Sartori and
                  Matheus Trevisan Moreira and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans},
  title        = {Validating an Automated Asynchronous Synthesis Environment with a
                  Challenging Design: {RISC-V}},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261656},
  doi          = {10.1109/SBCCI60457.2023.10261656},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunesSMMC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PerlebergMMAAP23,
  author       = {Murilo R. Perleberg and
                  Marcello M. Mu{\~{n}}oz and
                  Denis Maass and
                  Vladimir Afonso and
                  Luciano Agostini and
                  Marcelo Schiavon Porto},
  title        = {An {UHD} 4K@120fps Hardware for the {VVC} Prediction Refinement with
                  Optical Flow},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261950},
  doi          = {10.1109/SBCCI60457.2023.10261950},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PerlebergMMAAP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RezendeBRSGPA23,
  author       = {Beatriz E. H. Rezende and
                  Jo{\~{a}}o L. J. Brum and
                  Martina C. Rodrigues and
                  Lucas Compassi Severo and
                  Alessandro Gon{\c{c}}alves Girardi and
                  William Prodanov and
                  Paulo C{\'{e}}sar Comassetto de Aguirre},
  title        = {Evaluation and Comparison of Offset Compensation Techniques for a
                  Multi-Stage Comparator},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261948},
  doi          = {10.1109/SBCCI60457.2023.10261948},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RezendeBRSGPA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RioufolJSF23,
  author       = {Th{\'{e}}o Prats Rioufol and
                  Zalfa Jouni and
                  Thomas Soupizet and
                  Pietro M. Ferreira},
  title        = {Revisiting the Ultra-Low Power Electronic Neuron Towards a Faithful
                  Biomimetic Behavior},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261961},
  doi          = {10.1109/SBCCI60457.2023.10261961},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RioufolJSF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCNFN23,
  author       = {Pedro Arthur R. L. Silva and
                  Jeferson F. Chaves and
                  Jos{\'{e}} Augusto Miranda Nacif and
                  Ricardo S. Ferreira and
                  Omar Paranaiba Vilela Neto},
  title        = {Exploring Nanomagnetic Logic with Bennett Clocking},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261955},
  doi          = {10.1109/SBCCI60457.2023.10261955},
  timestamp    = {Wed, 27 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCNFN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaGR23,
  author       = {Rafael da Silva and
                  Mateus Grellert and
                  Ricardo Reis},
  title        = {An Energy-Efficient Interpolation Unit Targeting {VVC} Encoders with
                  Approximate Adder},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261658},
  doi          = {10.1109/SBCCI60457.2023.10261658},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaGR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilveiraPDC23,
  author       = {Bianca Silveira and
                  Daniel Palomino and
                  Cl{\'{a}}udio Machado Diniz and
                  Guilherme Corr{\^{e}}a},
  title        = {A Hardware Design for the Multi-Transform Module of the Versatile
                  Video Coding Standard},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261970},
  doi          = {10.1109/SBCCI60457.2023.10261970},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilveiraPDC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/StefaniMSS23,
  author       = {Marco P. Stefani and
                  C{\'{e}}sar A. M. Marcon and
                  Felipe G. A. e Silva and
                  Jarbas Silveira},
  title        = {Memory Controller with Adaptive {ECC} for Reliable System Operation},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261959},
  doi          = {10.1109/SBCCI60457.2023.10261959},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/StefaniMSS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TourissaudKDM23,
  author       = {Ana{\"{\i}}s Tourissaud and
                  Eric Kerherv{\'{e}} and
                  Nathalie Deltimple and
                  Romain Mathieu},
  title        = {Study of an Avalanche Compensation Mirror for SiGe High Performance
                  Power Amplifiers Dedicated to 5G Applications},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261653},
  doi          = {10.1109/SBCCI60457.2023.10261653},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TourissaudKDM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TourissaudKDVM23,
  author       = {Ana{\"{\i}}s Tourissaud and
                  Eric Kerherv{\'{e}} and
                  Nathalie Deltimple and
                  Steeven Voisin and
                  Romain Mathieu},
  title        = {A 24.25-30.5GHz Fully Integrated SiGe Phase Shifter/VGA/Power Amplifier
                  in 0.13{\(\mathrm{\mu}\)}m BiCMOS Technology for 5G Beamforming Applications},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261664},
  doi          = {10.1109/SBCCI60457.2023.10261664},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TourissaudKDVM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZanandreaM23,
  author       = {Vinicius Zanandrea and
                  Cristina Meinhardt},
  title        = {New Modified 4:2 Approximate Compressors for Low-Power Applications},
  booktitle    = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023.10261949},
  doi          = {10.1109/SBCCI60457.2023.10261949},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZanandreaM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2023,
  title        = {36th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2023, Rio de Janeiro, Brazil, August 28 - Sept. 1,
                  2023},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SBCCI60457.2023},
  doi          = {10.1109/SBCCI60457.2023},
  isbn         = {979-8-3503-1834-0},
  timestamp    = {Wed, 11 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AielloCA22,
  author       = {Orazio Aiello and
                  Paolo Crovetti and
                  Massimo Alioto},
  title        = {Conversion Time-Power Tradeoff in Capacitance-to-Digital Converters
                  with Dual-Mode Logic},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893227},
  doi          = {10.1109/SBCCI55532.2022.9893227},
  timestamp    = {Thu, 06 Oct 2022 22:35:09 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AielloCA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AntonopoulosKSP22,
  author       = {Konstantinos Antonopoulos and
                  Dimitris Karadimas and
                  Alexandros Spournias and
                  Christos Panagiotou and
                  Ignantios Fwtiou and
                  Ioannis Symeonidis and
                  Christos P. Antonopoulos and
                  Michael H{\"{u}}bner and
                  Nikolaos S. Voros},
  title        = {A distributed Embedded Systems IoT platform and Associated services
                  Supporting Shopping Cart for Disabled People},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893262},
  doi          = {10.1109/SBCCI55532.2022.9893262},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AntonopoulosKSP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BalloGP22,
  author       = {Andrea Ballo and
                  Alfio Dario Grasso and
                  Marco Privitera},
  title        = {A Design Procedure for Sizing Comparators in Active Rectifiers using
                  {\textdollar}g{\_}\{m\}/I{\_}\{D\}{\textdollar} Technique},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893249},
  doi          = {10.1109/SBCCI55532.2022.9893249},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BalloGP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CanalKBB22,
  author       = {Bruno Canal and
                  Hamilton Duarte Klimach and
                  Sergio Bampi and
                  Tiago R. Balen},
  title        = {Time Assisted {SAR} {ADC} with Bit-guess and Digital Error Correction},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893220},
  doi          = {10.1109/SBCCI55532.2022.9893220},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CanalKBB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ChenLP22,
  author       = {Peng{-}Yu Chen and
                  Chih Hsuan Lin and
                  Wen{-}Hsiao Peng},
  title        = {A Study of Motion Coding Schemes for Learned Video Compression},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893226},
  doi          = {10.1109/SBCCI55532.2022.9893226},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ChenLP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorreaPCA22,
  author       = {Marcel Moscarelli Corr{\^{e}}a and
                  Daniel Palomino and
                  Guilherme Corr{\^{e}}a and
                  Luciano Agostini},
  title        = {Direction-Based Fast Mode Decision and Hardware Design for the {AV1}
                  Intra Prediction},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893253},
  doi          = {10.1109/SBCCI55532.2022.9893253},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorreaPCA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CortezGA22,
  author       = {Matheus Cortez and
                  Alessandro Gon{\c{c}}alves Girardi and
                  Paulo C{\'{e}}sar Comassetto de Aguirre},
  title        = {High-Level Design of a 14-bit Continuous-Time Sigma-Delta Modulator
                  with {FIR} {DAC} for Low-Voltage Audio Devices},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893239},
  doi          = {10.1109/SBCCI55532.2022.9893239},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CortezGA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaSR22,
  author       = {Alcides S. Costa and
                  Leonardo Droves Silveira and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {A Virtual Board Approach for Prototyping and Teaching Digital Design},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893259},
  doi          = {10.1109/SBCCI55532.2022.9893259},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaSR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DominguesFAM22,
  author       = {Anderson R. P. Domingues and
                  Sergio Johann Filho and
                  Alexandre de Morais Amory and
                  Fernando Gehm Moraes},
  title        = {Design-Time Analysis of Real-Time Traffic for Networks-on-Chip using
                  Constraint Models},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893222},
  doi          = {10.1109/SBCCI55532.2022.9893222},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DominguesFAM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DuanS22,
  author       = {Shengyu Duan and
                  Gaole Sai},
  title        = {Protecting {SRAM} {PUF} from {BTI} Aging-based Cloning Attack},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893248},
  doi          = {10.1109/SBCCI55532.2022.9893248},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DuanS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DutraGS22,
  author       = {Luis Felipe Machado Dutra and
                  Alessandro Gon{\c{c}}alves Girardi and
                  Lucas Compassi Severo},
  title        = {A 0.3 to 5-MHz Low-Voltage Digitally-Controlled Oscillator for Energy
                  Harvesting Applications},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893232},
  doi          = {10.1109/SBCCI55532.2022.9893232},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DutraGS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/EisenkraemerOC22,
  author       = {Gabriel Henrique Eisenkraemer and
                  Leonardo Londero de Oliveira and
                  Everton Alceu Carara},
  title        = {Comparative Analysis of Hardware Implementations of a Convolutional
                  Neural Network},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893234},
  doi          = {10.1109/SBCCI55532.2022.9893234},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/EisenkraemerOC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FaccendaCCM22,
  author       = {Rafael Follmann Faccenda and
                  Gustavo Comar{\'{u}} and
                  Luciano Lores Caimi and
                  Fernando Gehm Moraes},
  title        = {Secure Communication with Peripherals in NoC-based Many-cores},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893244},
  doi          = {10.1109/SBCCI55532.2022.9893244},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FaccendaCCM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FreitasNGDC22,
  author       = {Daiane Freitas and
                  Bruna Nagai and
                  Mateus Grellert and
                  Cl{\'{a}}udio Machado Diniz and
                  Guilherme Corr{\^{e}}a},
  title        = {High-Throughput Multifilter {VLSI} Design for the {AV1} Fractional
                  Motion Estimation},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893255},
  doi          = {10.1109/SBCCI55532.2022.9893255},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FreitasNGDC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GewehrRL22,
  author       = {Carlos Gabriel de Araujo Gewehr and
                  Carlis Raupp and
                  Julio Le{\~{a}}o},
  title        = {eSi-BTC: an energy efficient Bitcoin mining core},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893218},
  doi          = {10.1109/SBCCI55532.2022.9893218},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GewehrRL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoebelAZP22,
  author       = {Jones Goebel and
                  Luciano Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {Low-Frequency Non-Separable Transform Hardware System Design for the
                  {VVC} Encoder},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893228},
  doi          = {10.1109/SBCCI55532.2022.9893228},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoebelAZP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HaaseGFG22,
  author       = {Julian Haase and
                  Alexander Gro{\ss} and
                  Maximilian Feichter and
                  Diana G{\"{o}}hringer},
  title        = {{PANACA:} An Open-Source Configurable Network-on-Chip Simulation Platform},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893260},
  doi          = {10.1109/SBCCI55532.2022.9893260},
  timestamp    = {Fri, 14 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HaaseGFG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HernandezFKRH22,
  author       = {Hector Gerardo Mu{\~{n}}oz Hernandez and
                  Florian Fricke and
                  Muhammed Al Kadi and
                  Marc Reichenbach and
                  Michael H{\"{u}}bner},
  title        = {Edge {GPU} based on an {FPGA} Overlay Architecture using {PYNQ}},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893229},
  doi          = {10.1109/SBCCI55532.2022.9893229},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HernandezFKRH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/IsquierdoSSZP22,
  author       = {Matheus Isquierdo and
                  Renira Soares and
                  Felipe Sampaio and
                  Bruno Zatt and
                  Daniel Palomino},
  title        = {Error Resilience Evaluation of Approximate Storage in the Intra Prediction
                  of {VVC} Decoders},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893263},
  doi          = {10.1109/SBCCI55532.2022.9893263},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/IsquierdoSSZP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JacintoIGM22,
  author       = {Gabriel Lima Jacinto and
                  Lucas Yuki Imamura and
                  Mateus Grellert and
                  Cristina Meinhardt},
  title        = {Exploring Machine Learning for Electrical Behavior Prediction: The
                  {CMOS} Inverter Case Study},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893261},
  doi          = {10.1109/SBCCI55532.2022.9893261},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JacintoIGM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JouniSWBF22,
  author       = {Zalfa Jouni and
                  Thomas Soupizet and
                  Siqi Wang and
                  Aziz Benlarbi{-}Dela{\"{\i}} and
                  Pietro M. Ferreira},
  title        = {1.2 nW Neuromorphic Enhanced Wake-Up Radio},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893247},
  doi          = {10.1109/SBCCI55532.2022.9893247},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JouniSWBF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KattanA22,
  author       = {Hammam Kattan and
                  Hussam Amrouch},
  title        = {Advanced Thermal Management using Approximate Computing and On-Chip
                  Thermoelectric Cooling},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893242},
  doi          = {10.1109/SBCCI55532.2022.9893242},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KattanA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KautzBS22,
  author       = {Frederik Kautz and
                  Holger Blume and
                  Christian Sauer},
  title        = {Methodology for an Early Exploration of Embedded Systems using Portable
                  Test and Stimulus Standard},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893231},
  doi          = {10.1109/SBCCI55532.2022.9893231},
  timestamp    = {Fri, 14 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KautzBS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KnorstKJVLRB22,
  author       = {Tiago Knorst and
                  Guilherme Korol and
                  Michael Guilherme Jordan and
                  Julio Costella Vicenzi and
                  Arthur Francisco Lorenzon and
                  Mateus Beck Rutzig and
                  Antonio Carlos Schneider Beck},
  title        = {On the benefits of Collaborative Thread Throttling and HLS-Versioning
                  in {CPU-FPGA} Environments},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893223},
  doi          = {10.1109/SBCCI55532.2022.9893223},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KnorstKJVLRB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeLTN22,
  author       = {Vu Trung Duong Le and
                  Pham Hoai Luan and
                  Thi Hong Tran and
                  Yasuhiko Nakashima},
  title        = {{CSIP:} {A} Compact Scrypt {IP} design with single {PBKDF2} core for
                  Blockchain mining},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893217},
  doi          = {10.1109/SBCCI55532.2022.9893217},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeLTN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeopoldoN22,
  author       = {Leonardo Rodrigues Leopoldo and
                  Wilhelmus A. M. Van Noije},
  title        = {Low Noise Broadband Amplifier for Breast Cancer System},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893241},
  doi          = {10.1109/SBCCI55532.2022.9893241},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeopoldoN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lima22,
  author       = {Jader A. De Lima},
  title        = {A 0.55-V Oscillator with Improved Stability Against Temperature and
                  Supply-Voltage Variations},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893230},
  doi          = {10.1109/SBCCI55532.2022.9893230},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lima22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LuanTLN22,
  author       = {Pham Hoai Luan and
                  Thi Hong Tran and
                  Vu Trung Duong Le and
                  Yasuhiko Nakashima},
  title        = {A Flexible and Energy-Efficient BLAKE-256/2s Co-Processor for Blockchain-based
                  IoT Applications},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893257},
  doi          = {10.1109/SBCCI55532.2022.9893257},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LuanTLN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquesRLBL22,
  author       = {Sandro M. Marques and
                  F{\'{a}}bio D. Rossi and
                  Marcelo Caggiani Luizelli and
                  Antonio Carlos Schneider Beck and
                  Arthur Francisco Lorenzon},
  title        = {Thermal-Aware Thread and Turbo Frequency Throttling Optimization for
                  Parallel Applications},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893245},
  doi          = {10.1109/SBCCI55532.2022.9893245},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquesRLBL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinBGA22,
  author       = {Timothy Martin and
                  Charlotte Barnes and
                  Gary William Grewal and
                  Shawki Areibi},
  title        = {Integrating Machine-Learning Probes into the {VTR} {FPGA} Design Flow},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893251},
  doi          = {10.1109/SBCCI55532.2022.9893251},
  timestamp    = {Mon, 04 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinBGA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MunozKPC22,
  author       = {Marcello M. Mu{\~{n}}oz and
                  Henrique Kessler and
                  Marcelo Schiavon Porto and
                  Vinicius V. Camargo},
  title        = {Transistor Reordering for Electrical Improvement in {CMOS} Complex
                  Gates},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893256},
  doi          = {10.1109/SBCCI55532.2022.9893256},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MunozKPC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraSRSM22,
  author       = {Rafael N. M. Oliveira and
                  F{\'{a}}bio G. R. G. da Silva and
                  Ricardo Reis and
                  Rafael B. Schvittz and
                  Cristina Meinhardt},
  title        = {Improving Soft Error Robustness of Full Adder Circuits with Decoupling
                  Cell and Transistor Sizing},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893240},
  doi          = {10.1109/SBCCI55532.2022.9893240},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraSRSM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalauGZVCCPA22,
  author       = {Roberta Palau and
                  Jones Goebel and
                  Eduardo Zummach and
                  Ramiro Viana and
                  Marcel Moscarelli Corr{\^{e}}a and
                  Guilherme Corr{\^{e}}a and
                  Marcelo Schiavon Porto and
                  Luciano Agostini},
  title        = {An {UHD} 4K@60fps Dual Self-Guided Filter Targeting the {AV1} Decoder},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893236},
  doi          = {10.1109/SBCCI55532.2022.9893236},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalauGZVCCPA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalauPGZCPA22,
  author       = {Roberta Palau and
                  Wagner Penny and
                  Jones Goebel and
                  Eduardo Zummach and
                  Guilherme Corr{\^{e}}a and
                  Marcelo Schiavon Porto and
                  Luciano Agostini},
  title        = {Hardware Design for the Separable Symmetric Normalized Wiener Filter
                  of the {AV1} Decoder},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893219},
  doi          = {10.1109/SBCCI55532.2022.9893219},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalauPGZCPA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ranjandish22,
  author       = {Reza Ranjandish},
  title        = {An All-digital Programmable Current-limited Discharge Circuitry for
                  a Safe Electrical Stimulation},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893246},
  doi          = {10.1109/SBCCI55532.2022.9893246},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ranjandish22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RodriguezT22,
  author       = {Hugo Rodr{\'{\i}}guez and
                  Jimmy Tarrillo},
  title        = {Energy-Efficient Forwarding Routing Algorithm with bidirectional link
                  quality estimator for Wireless Sensor Networks},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893221},
  doi          = {10.1109/SBCCI55532.2022.9893221},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RodriguezT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RotheL22,
  author       = {Susann Rothe and
                  Jens Lienig},
  title        = {Reliability by Design: Avoiding Migration-Induced Failure in {IC}
                  Interconnects},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893237},
  doi          = {10.1109/SBCCI55532.2022.9893237},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RotheL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SaikiranGC22,
  author       = {Marampally Saikiran and
                  Mona Ganji and
                  Degang Chen},
  title        = {A Time-Efficient Defect Simulation Framework for Analog and Mixed
                  Signal {(AMS)} Circuits},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893224},
  doi          = {10.1109/SBCCI55532.2022.9893224},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SaikiranGC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SaikiranGC22a,
  author       = {Marampally Saikiran and
                  Mona Ganji and
                  Degang Chen},
  title        = {Digital Defect-Oriented Test Methodology for Flipped Voltage Follower
                  Low Dropout {(LDO)} Voltage Regulators},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893243},
  doi          = {10.1109/SBCCI55532.2022.9893243},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SaikiranGC22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Salazar-GarciaC22,
  author       = {Carlos Salazar{-}Garc{\'{\i}}a and
                  Alfonso Chac{\'{o}}n{-}Rodr{\'{\i}}guez and
                  Renato Rimolo{-}Donadio and
                  Ronny Garc{\'{\i}}a{-}Ram{\'{\i}}rez and
                  David Sol{\'{o}}rzano{-}Pacheco and
                  Jeferson Gonz{\'{a}}lez{-}G{\'{o}}mez and
                  Christos Strydis},
  title        = {A custom interconnection multi-FPGA framework for distributed processing
                  applications},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893238},
  doi          = {10.1109/SBCCI55532.2022.9893238},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Salazar-GarciaC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SartoriNC22,
  author       = {Marcos Luiggi Lemos Sartori and
                  Willian Analdo Nunes and
                  Ney Laert Vilar Calazans},
  title        = {Enhancing an Asynchronous Circuit Design Flow to Support Complex Digital
                  System Design},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893258},
  doi          = {10.1109/SBCCI55532.2022.9893258},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SartoriNC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SayedK22,
  author       = {Gayas Mohiuddin Sayed and
                  Matthias Kuhl},
  title        = {Miniaturized Sign-Magnitude Stochastic-Binary {FIR} Filter Architecture
                  with Enhanced Accuracy},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893252},
  doi          = {10.1109/SBCCI55532.2022.9893252},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SayedK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SiniscalchiGBS22,
  author       = {Mariana Siniscalchi and
                  Carlos Galup{-}Montoro and
                  Sylvain Bourdel and
                  Fernando Silveira},
  title        = {Limits for Low Supply Voltage Operation of a 5 GHz {VCO} to Drive
                  a 4-Path Mixer},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893254},
  doi          = {10.1109/SBCCI55532.2022.9893254},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SiniscalchiGBS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoupizetJSBF22,
  author       = {Thomas Soupizet and
                  Zalfa Jouni and
                  Joao Frischenbruder Sulzbach and
                  Aziz Benlarbi{-}Dela{\"{\i}} and
                  Pietro M. Ferreira},
  title        = {Deep Neural Network Feasibility Using Analog Spiking Neurons},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893216},
  doi          = {10.1109/SBCCI55532.2022.9893216},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoupizetJSBF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberDM22,
  author       = {Iacana Ianiski Weber and
                  Angelo Elias Dalzotto and
                  Fernando Gehm Moraes},
  title        = {A High-level Model to Leverage NoC-based Many-core Research},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893235},
  doi          = {10.1109/SBCCI55532.2022.9893235},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberDM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WuerdigCBB22,
  author       = {Rodrigo N. Wuerdig and
                  Bruno Canal and
                  Tiago R. Balen and
                  Sergio Bampi},
  title        = {Designing a 9.3{\(\mu\)}W Low-Power Time-to-Digital Converter {(TDC)}
                  for a Time Assisted {SAR} {ADC}},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893250},
  doi          = {10.1109/SBCCI55532.2022.9893250},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WuerdigCBB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZhanC22,
  author       = {Suoyue Zhan and
                  Chunhong Chen},
  title        = {Circuit Reliability Analysis with Considerations of Aging Effect},
  booktitle    = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022.9893233},
  doi          = {10.1109/SBCCI55532.2022.9893233},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZhanC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2022,
  title        = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/SBCCI55532.2022},
  doi          = {10.1109/SBCCI55532.2022},
  isbn         = {978-1-6654-8128-1},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlimisisPS20,
  author       = {Vassilis Alimisis and
                  Georgios Pappas and
                  Paul P. Sotiriadis},
  title        = {Fractional-Order Instrumentation Amplifier Transfer Function for Control
                  Applications},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189910},
  doi          = {10.1109/SBCCI50935.2020.9189910},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlimisisPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AndradeCSS20,
  author       = {Fabian Souza de Andrade and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Edson Pinto Santana and
                  Ygor Oliveira da Guarda Souza},
  title        = {A {CMOS} Analog Two-Layer Full Signal Range Cellular Neural Network
                  for Image Filtering},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189904},
  doi          = {10.1109/SBCCI50935.2020.9189904},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AndradeCSS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BorgesPAPA20,
  author       = {Vinicius Ruela Pereira Borges and
                  Murilo R. Perleberg and
                  Vladimir Afonso and
                  Marcelo Schiavon Porto and
                  Luciano Agostini},
  title        = {A Hardware Design for 3D-HEVC Depth Intra Skip with Synthesized View
                  Distortion Change},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189925},
  doi          = {10.1109/SBCCI50935.2020.9189925},
  timestamp    = {Sat, 18 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BorgesPAPA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BorgesSM20,
  author       = {Talita Alves Borges and
                  Leonardo Bandeira Soares and
                  Cristina Meinhardt},
  title        = {A Fine-grained Methodology for Accuracy-configurable and Energy-efficient
                  Gaussian Filters Design},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189912},
  doi          = {10.1109/SBCCI50935.2020.9189912},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BorgesSM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CanalKBB20,
  author       = {Bruno Canal and
                  Hamilton Duarte Klimach and
                  Sergio Bampi and
                  Tiago R. Balen},
  title        = {Low-Voltage Dynamic Comparator with Bulk-Driven Floating Inverter
                  Amplifier},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189918},
  doi          = {10.1109/SBCCI50935.2020.9189918},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CanalKBB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaGVCO20,
  author       = {Victor Oliveira Costa and
                  Carlos Gabriel de Araujo Gewehr and
                  Julio Costella Vicenzi and
                  Everton Alceu Carara and
                  Leonardo Londero de Oliveira},
  title        = {Hardware Accelerator for Shapelet Distance Computation in Time-Series
                  Classification},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189923},
  doi          = {10.1109/SBCCI50935.2020.9189923},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaGVCO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CruzDRH20,
  author       = {William Souza da Cruz and
                  Jean{-}Max Dutertre and
                  Jean{-}Baptiste Rigaud and
                  Guillaume Hubert},
  title        = {Evidence of a Dynamic Fault Model in the {DICE} Radiation-Hardened
                  Cell},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189897},
  doi          = {10.1109/SBCCI50935.2020.9189897},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CruzDRH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DominguesRM20,
  author       = {Julio Sara{\c{c}}ol Domingues J{\'{u}}nior and
                  Leomar Soares da Rosa Jr. and
                  Felipe de Souza Marques},
  title        = {A Straightforward Methodology for {QCA} Circuits Design},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189930},
  doi          = {10.1109/SBCCI50935.2020.9189930},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DominguesRM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FaccendaGBR20,
  author       = {Rafael Follmann Faccenda and
                  Carlos Gabriel de Araujo Gewehr and
                  Antonio Carlos Schneider Beck and
                  Mateus B. Rutzig},
  title        = {Maximizing Throughput-per-Joule of a Hybrid Communication Infrastructure
                  Through a Software-Hardware based {DVFS} Mechanism},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189924},
  doi          = {10.1109/SBCCI50935.2020.9189924},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FaccendaGBR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerrazJGS20,
  author       = {Evandro C. Ferraz and
                  Jos{\'{e}} V. O. J{\'{u}}nior and
                  Ian Andrew Grout and
                  Alexandre C. R. da Silva},
  title        = {Synthesis and Optimization of Majority Expressions through a Mathematical
                  Model},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189906},
  doi          = {10.1109/SBCCI50935.2020.9189906},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerrazJGS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FicheSCRSVN20,
  author       = {Joao N. C. Fiche and
                  Marco T. D. Sousa and
                  Jeferson F. Chaves and
                  Marco A. Ribeiro and
                  Leandro Maia Silva and
                  Luiz Filipe M. Vieira and
                  Omar P. Vilela Neto},
  title        = {Energy reduction opportunities in Field-Coupled Nanocomputing Adders},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189895},
  doi          = {10.1109/SBCCI50935.2020.9189895},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FicheSCRSVN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoMSGG20,
  author       = {Vanio Rodrigues Filho and
                  Marcio Monteiro and
                  Ismael Seidel and
                  Mateus Grellert and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {Standalone Rate-Distortion {FME} Architecture},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189898},
  doi          = {10.1109/SBCCI50935.2020.9189898},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoMSGG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GirardiG20,
  author       = {Alessandro Girardi and
                  Helmut Graeb},
  title        = {Modeling and Optimization of a Microprobe Detector for Area and Yield
                  Improvement},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189932},
  doi          = {10.1109/SBCCI50935.2020.9189932},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GirardiG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KnorstVJAKBR20,
  author       = {Tiago Knorst and
                  Julio Costella Vicenzi and
                  Michael Guilherme Jordan and
                  Jonathan Homercher de Almeida and
                  Guilherme Korol and
                  Antonio Carlos Schneider Beck and
                  Mateus Beck Rutzig},
  title        = {Unlocking the Full Potential of Heterogeneous Accelerators by Using
                  a Hybrid Multi-Target Binary Translator},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189922},
  doi          = {10.1109/SBCCI50935.2020.9189922},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KnorstVJAKBR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LealH20,
  author       = {Guilherme T. Leal and
                  Sandro A. P. Haddad},
  title        = {The use of the analog wavelet filter to generate a sinusoidal signal
                  and decompose the {TEB} signal into its cardiac and respiratory components
                  in implantable cardiac pacemaker systems},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189893},
  doi          = {10.1109/SBCCI50935.2020.9189893},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LealH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lima20,
  author       = {Jader A. De Lima},
  title        = {A 0.55-V 0.1{\textdegree}C-Accuracy All-CMOS Temperature Sensor for
                  Implanted Devices},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189921},
  doi          = {10.1109/SBCCI50935.2020.9189921},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lima20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaABK20,
  author       = {Vanessa F. de Lima and
                  Rodrigo Ataide and
                  Sergio Bampi and
                  Hamilton Klimach},
  title        = {Performance and Variability Trade-offs of {CMOS} {PTAT} Generator
                  Topologies for Voltage Reference Applications},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189931},
  doi          = {10.1109/SBCCI50935.2020.9189931},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaABK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaK20,
  author       = {Vanessa F. de Lima and
                  Hamilton Klimach},
  title        = {A 37 nW MOSFET-Only Voltage Reference in 0.13 {\(\mu\)}m {CMOS}},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189914},
  doi          = {10.1109/SBCCI50935.2020.9189914},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LinharesRFA20,
  author       = {Alisson Linhares and
                  Henrique Rusa and
                  Daniel Formiga and
                  Rodolfo Azevedo},
  title        = {A SystemC profiling framework to improve fixed-point hardware utilization},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189919},
  doi          = {10.1109/SBCCI50935.2020.9189919},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LinharesRFA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LopesOOG20,
  author       = {Tiago M. de F. Lopes and
                  Victor R. R. de Oliveira and
                  Fernanda D. V. R. Oliveira and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes},
  title        = {Event-Based {CMOS} Image Sensor with Shared {DVS} Module for Pixel
                  Area Reduction},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189911},
  doi          = {10.1109/SBCCI50935.2020.9189911},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LopesOOG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LopesP20,
  author       = {Alba Sandyra Bezerra Lopes and
                  Monica Magalh{\~{a}}es Pereira},
  title        = {A Machine Learning Approach to Accelerating {DSE} of Reconfigurable
                  Accelerator Systems},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189899},
  doi          = {10.1109/SBCCI50935.2020.9189899},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LopesP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MenezesHCN20,
  author       = {N{\'{a}}gila Ribeiro de Menezes and
                  Hugo Daniel Hern{\'{a}}ndez and
                  Dionisio Carvalho and
                  Wilhelmus A. M. Van Noije},
  title        = {All-digital FPGA-based {RF} pulsed transmitter with hardware complexity
                  reduction techniques},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189929},
  doi          = {10.1109/SBCCI50935.2020.9189929},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MenezesHCN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NavarroL20,
  author       = {Jo{\~{a}}o Navarro and
                  Maximiliam Luppe},
  title        = {Performance Comparison of High-Speed Dual Modulus Prescalers Using
                  Metaheuristic Sizing/Optimization},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189913},
  doi          = {10.1109/SBCCI50935.2020.9189913},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NavarroL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NetoC0AC20,
  author       = {Luiz Neto and
                  Marcel Moscarelli Corr{\^{e}}a and
                  Daniel Palomino and
                  Luciano Agostini and
                  Guilherme Corr{\^{e}}a},
  title        = {Directional Intra Frame Prediction Architecture with Edge Filter and
                  Upsampling for {AV1} Video Coding},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189902},
  doi          = {10.1109/SBCCI50935.2020.9189902},
  timestamp    = {Wed, 23 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NetoC0AC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraBMGN20,
  author       = {Poliana A. C. Oliveira and
                  Maria C. O. Fonte Boa and
                  Renan A. Marks and
                  Marcos V. Guterres and
                  Omar P. Vilela Neto},
  title        = {Analysis of single-module and cascade molecular analog circuits for
                  approximate computing based on {DNA} Strand Displacement},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189908},
  doi          = {10.1109/SBCCI50935.2020.9189908},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraBMGN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraDCB20,
  author       = {Duarte Lopes de Oliveira and
                  Gabriel C. Duarte and
                  Nicolly N. M. Cardoso and
                  Gracieth Cavalcanti Batista},
  title        = {Implementation of Asynchronous Pipelines with {QDI} Template onto
                  FPGAs Using Commercial Tools},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189928},
  doi          = {10.1109/SBCCI50935.2020.9189928},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraDCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PassosVZ20,
  author       = {Arthur Passos and
                  Felipe Viel and
                  Cesar A. Zeferino},
  title        = {A Hardware Accelerator for the Segmentation of Hyperspectral Images},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189907},
  doi          = {10.1109/SBCCI50935.2020.9189907},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PassosVZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PlatcheckCB20,
  author       = {Gustavo Paz Platcheck and
                  Guilherme Schwanke Cardoso and
                  Tiago R. Balen},
  title        = {Characterization of Enclosed Layout Transistors for Analog Applications
                  on a130nm Technology},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189903},
  doi          = {10.1109/SBCCI50935.2020.9189903},
  timestamp    = {Thu, 20 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PlatcheckCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PortoZRAP20,
  author       = {Roger Endrigo Carvalho Porto and
                  Bruno Zatt and
                  Nuno Roma and
                  Luciano Agostini and
                  Marcelo Schiavon Porto},
  title        = {2PSA: An Optimized and Flexible Power-Precision Scalable Adder},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189917},
  doi          = {10.1109/SBCCI50935.2020.9189917},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PortoZRAP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamirezYNNC20,
  author       = {Jose L. Ramirez and
                  Ricardo T. Yoshioka and
                  Carolina C. P. Nunes and
                  Igor Fernandes Namba and
                  Claudemir Coral},
  title        = {Model and simulation of Warpage in packaged {IC} strips after Mold
                  Array Process},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189900},
  doi          = {10.1109/SBCCI50935.2020.9189900},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamirezYNNC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RochaKJKSVNNCB20,
  author       = {Hiago Rocha and
                  Guilherme Korol and
                  Michael Guilherme Jordan and
                  Arthur M. Krause and
                  Ronaldo Silveira and
                  Caio Vieira and
                  Philippe O. A. Navaux and
                  Gabriel L. Nazar and
                  Luigi Carro and
                  Antonio Carlos Schneider Beck},
  title        = {Firefly: An Open-source Rocket-based Intermittent Framework},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189926},
  doi          = {10.1109/SBCCI50935.2020.9189926},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RochaKJKSVNNCB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RuaroM20,
  author       = {Marcelo Ruaro and
                  Fernando Gehm Moraes},
  title        = {Multiple-objective Management based on a Distributed {SDN} Architecture
                  for Many-cores},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189905},
  doi          = {10.1109/SBCCI50935.2020.9189905},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RuaroM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosLM20,
  author       = {F{\'{a}}vero Guilherme Santos and
                  Bernardo Rego Barros de Almeida Leite and
                  Andr{\'{e}} Augusto Mariano},
  title        = {A Novel Single Propagation Path Multimode {PA}},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189920},
  doi          = {10.1109/SBCCI50935.2020.9189920},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosLM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaKJBHPRB20,
  author       = {Raul Silveira Silva and
                  Guilherme Korol and
                  Michael Guilherme Jordan and
                  Marcelo Brandalero and
                  Michael H{\"{u}}bner and
                  Monica Magalh{\~{a}}es Pereira and
                  Mateus Beck Rutzig and
                  Antonio Carlos Schneider Beck},
  title        = {A Management Technique for Concurrent Access to a Reconfigurable Accelerator},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189927},
  doi          = {10.1109/SBCCI50935.2020.9189927},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaKJBHPRB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMM20,
  author       = {Alzemiro Lucas da Silva and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  title        = {Mapping and Migration Strategies for Thermal Management in Many-Core
                  Systems},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189933},
  doi          = {10.1109/SBCCI50935.2020.9189933},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMSM20,
  author       = {Felipe G. A. e Silva and
                  Adahil Muniz and
                  Jarbas Silveira and
                  C{\'{e}}sar A. M. Marcon},
  title        = {{CLC-A:} An Adaptive Implementation of the Column Line Code {(CLC)}
                  {ECC}},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189901},
  doi          = {10.1109/SBCCI50935.2020.9189901},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMSM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaPSJ20,
  author       = {Francisco Carlos Silva and
                  Jo{\~{a}}o P. dos S. Patrocinio and
                  Ivan Saraiva Silva and
                  Ricardo Pezzuol Jacobi},
  title        = {Design Space Exploration of a Reconfigurable Accelerator in a Heterogeneous
                  Multicore},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189915},
  doi          = {10.1109/SBCCI50935.2020.9189915},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaPSJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaSG20,
  author       = {Luiz A. da Silva and
                  Lucas Compassi Severo and
                  Alessandro Girardi},
  title        = {A Novel Fully Integrated {ULV} {SC} {DC-DC} Converter for Indoor Light
                  Energy Harvesting},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189894},
  doi          = {10.1109/SBCCI50935.2020.9189894},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaSG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SouzaBCZS20,
  author       = {Garrenlus de Souza and
                  Sergio Bampi and
                  Arthur Cerveira and
                  Bruno Zatt and
                  Felipe Sampaio},
  title        = {Evaluation of Cache-Based Memory Hierarchy for {HEVC} Video Decoding},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189909},
  doi          = {10.1109/SBCCI50935.2020.9189909},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SouzaBCZS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberOCM20,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Leonardo Londero de Oliveira and
                  Everton Carara and
                  Fernando Gehm Moraes},
  title        = {Reducing NoC Energy Consumption Exploring Asynchronous End-to-end
                  {GALS} Communication},
  booktitle    = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/SBCCI50935.2020.9189896},
  doi          = {10.1109/SBCCI50935.2020.9189896},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberOCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2020,
  title        = {33rd Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2020, Campinas, Brazil, August 24-28, 2020},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/9186570/proceeding},
  isbn         = {978-1-7281-9625-1},
  timestamp    = {Tue, 22 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmeidaDS19,
  author       = {Alexandre A. A. de Almeida and
                  Gerhard W. Dueck and
                  Alexandre C. R. da Silva},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Finding optimal qubit permutations for IBM's quantum computer architectures},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {13},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339829},
  doi          = {10.1145/3338852.3339829},
  timestamp    = {Wed, 11 Aug 2021 17:02:35 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmeidaDS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArevaloLRTP19,
  author       = {Adriana Arevalo and
                  Romain Liautard and
                  Daniel Romero and
                  Lionel Trojman and
                  Luis{-}Miguel Procel},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {New insight for next generation {SRAM:} tunnel {FET} versus FinFET
                  for different topologies},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {11},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339871},
  doi          = {10.1145/3338852.3339871},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArevaloLRTP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarrosSL19,
  author       = {Jess{\'{e}} Barreto de Barros and
                  Renato Coral Sampaio and
                  Carlos H. Llanos},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {An adaptive discrete particle swarm optimization for mapping real-time
                  applications onto network-on-a-chip based MPSoCs},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339835},
  doi          = {10.1145/3338852.3339835},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarrosSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BerndtMBR19,
  author       = {Augusto Andre Souza Berndt and
                  Alan Mishchenko and
                  Paulo Francisco Butzen and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Reduction of neural network circuits by constant and nearly constant
                  signal propagation},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {29},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339874},
  doi          = {10.1145/3338852.3339874},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BerndtMBR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolinAM19,
  author       = {Tales Luiz Bortolin and
                  Andr{\'{e}} Luiz Aita and
                  Jo{\~{a}}o Baptista dos Santos Martins},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Behavioral modeling of a control module for an energy-investing piezoelectric
                  harvester},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {33},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339855},
  doi          = {10.1145/3338852.3339855},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolinAM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CamposNL19,
  author       = {Arthur Lombardi Campos and
                  Jo{\~{a}}o Navarro and
                  Maximiliam Luppe},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Design of a low power 10-bit 12MS/s asynchronous {SAR} {ADC} in 65nm
                  {CMOS}},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {31},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339857},
  doi          = {10.1145/3338852.3339857},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CamposNL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaKB19,
  author       = {Arthur Liraneto Torres Costa and
                  Hamilton Klimach and
                  Sergio Bampi},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {A sub-1mA highly linear inductorless wideband {LNA} with low {IP3}
                  sensitivity to variability for IoT applications},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {19},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339858},
  doi          = {10.1145/3338852.3339858},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaKB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraCKB19,
  author       = {Pietro M. Ferreira and
                  Nathan De Carvalho and
                  Geoffroy Klisnick and
                  Aziz Benlarbi{-}Dela{\"{\i}}},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Energy efficient fJ/spike {LTS} e-Neuron using 55-nm node},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {26},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339852},
  doi          = {10.1145/3338852.3339852},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraCKB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraGS19,
  author       = {Willian de Assis Pedrobon Ferreira and
                  Ian Andrew Grout and
                  Alexandre C{\'{e}}sar Rodrigues da Silva},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {{FPGA} hardware linear regression implementation using fixed-point
                  arithmetic},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {10},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339853},
  doi          = {10.1145/3338852.3339853},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraGS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoJJ19,
  author       = {Roberto Paulo Dias Alcantara Filho and
                  Ot{\'{a}}vio Alc{\^{a}}ntara de Lima J{\'{u}}nior and
                  Corneli Gomes Furtado J{\'{u}}nior},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {An FPGA-based evaluation platform for energy harvesting embedded systems},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339863},
  doi          = {10.1145/3338852.3339863},
  timestamp    = {Thu, 11 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoJJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FormigoniFN19,
  author       = {Ruan Evangelista Formigoni and
                  Ricardo S. Ferreira and
                  Jos{\'{e}} Augusto Miranda Nacif},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Ropper: a placement and routing framework for field-coupled nanotechnologies},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {24},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339838},
  doi          = {10.1145/3338852.3339838},
  timestamp    = {Fri, 04 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FormigoniFN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FreitasNRM19,
  author       = {Lucas A. Lascasas Freitas and
                  Omar P. Vilela Neto and
                  Jo{\~{a}}o G. Nizer Rahmeier and
                  Luiz G. C. Melo},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {NMLSim 2.0: a robust {CAD} and simulation tool for in-plane nanomagnetic
                  logic based on the {LLG} equation},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {23},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339856},
  doi          = {10.1145/3338852.3339856},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FreitasNRM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoebelZAP19,
  author       = {Jones Goebel and
                  Bruno Zatt and
                  Luciano Agostini and
                  Marcelo Schiavon Porto},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Hardware design of {DC/CFL} intra-prediction decoder for the {AV1}
                  codec},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {16},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339873},
  doi          = {10.1145/3338852.3339873},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoebelZAP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HuangLKB19,
  author       = {Li Huang and
                  Caroline Lelandais{-}Perrault and
                  Anthony Kolar and
                  Philippe B{\'{e}}nab{\`{e}}s},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {A new algorithm for an incremental sigma-delta converter reconstruction
                  filter},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {32},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339839},
  doi          = {10.1145/3338852.3339839},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HuangLKB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JuniorMSTG19,
  author       = {Jos{\'{e}} Roberto Banin J{\'{u}}nior and
                  Rodrigo Alves De Lima Moreto and
                  Gabriel Augusto da Silva and
                  Carlos Eduardo Thomaz and
                  Salvador Pinillos Gimenez},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {An innovative strategy to reduce die area of robust {OTA} by using
                  iMTGSPICE and diamond layout style for MOSFETs},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {22},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339865},
  doi          = {10.1145/3338852.3339865},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JuniorMSTG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KorolM19,
  author       = {Guilherme Korol and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {A {FPGA} parameterizable multi-layer architecture for CNNs},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {30},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339840},
  doi          = {10.1145/3338852.3339840},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KorolM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MagalhaesLS19,
  author       = {Philippe de S. Magalh{\~{a}}es and
                  Ot{\'{a}}vio Alc{\^{a}}ntara de Lime Jr. and
                  Jarbas Silveira},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {{PHICC:} an error correction code for memory devices},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339834},
  doi          = {10.1145/3338852.3339834},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MagalhaesLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarksVGON19,
  author       = {Renan A. Marks and
                  Daniel K. S. Vieira and
                  Marcos V. Guterres and
                  Poliana A. C. Oliveira and
                  Omar P. Vilela Neto},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {DNAr-logic: a constructive {DNA} logic circuit design library in {R}
                  language for molecular computing},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {12},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339854},
  doi          = {10.1145/3338852.3339854},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarksVGON19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsSVZ19,
  author       = {Lucas Amilton Martins and
                  Guilherme A. M. Sborz and
                  Felipe Viel and
                  Cesar A. Zeferino},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {An SVM-based hardware accelerator for onboard classification of hyperspectral
                  images},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {18},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339869},
  doi          = {10.1145/3338852.3339869},
  timestamp    = {Tue, 20 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsSVZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraNOFHCM19,
  author       = {Luiz Carlos Moreira and
                  Jos{\'{e}} Fontebasso Neto and
                  Walter Silva Oliveira and
                  Thiago Ferauche and
                  Guilherme Heck and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {An {IR-UWB} pulse generator using {PAM} modulation with adaptive {PSD}
                  in 130nm {CMOS} process},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {34},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339860},
  doi          = {10.1145/3338852.3339860},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraNOFHCM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoretoRTMG19,
  author       = {Rodrigo Alves De Lima Moreto and
                  Douglas Rocha and
                  Carlos E. Thomaz and
                  Andr{\'{e}} Augusto Mariano and
                  Salvador Pinillos Gimenez},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Interactive evolutionary approach to reduce the optimization cycle
                  time of a low noise amplifier},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {21},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339864},
  doi          = {10.1145/3338852.3339864},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoretoRTMG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NovaesMC19,
  author       = {Guilherme Apolinario Silva Novaes and
                  Luiz Carlos Moreira and
                  Wang Jiang Chau},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Exploring Tabu search based algorithms for mapping and placement in
                  NoC-based reconfigurable systems},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339843},
  doi          = {10.1145/3338852.3339843},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NovaesMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PennyPPZI19,
  author       = {Wagner Penny and
                  Daniel Palomino and
                  Marcelo Schiavon Porto and
                  Bruno Zatt and
                  Leandro Soares Indrusiak},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Performance evaluation of {HEVC} {RCL} applications mapped onto NoC-based
                  embedded platforms},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339868},
  doi          = {10.1145/3338852.3339868},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PennyPPZI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RaffaitinRP19,
  author       = {Clement Raffaitin and
                  Juan{-}Sebastian Romero and
                  Luis{-}Miguel Procel},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Hardware implementation of a shape recognition algorithm based on
                  invariant moments},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {14},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339872},
  doi          = {10.1145/3338852.3339872},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RaffaitinRP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RodriguesFBKB19,
  author       = {Gennaro Severino Rodrigues and
                  Juan Fonseca and
                  Fabio Benevenuti and
                  Fernanda Lima Kastensmidt and
                  Alberto Bosio},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Exploiting approximate computing for low-cost fault tolerant architectures},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339875},
  doi          = {10.1145/3338852.3339875},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RodriguesFBKB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantAnaMFM19,
  author       = {Anderson Camargo Sant'Ana and
                  Henrique Martins Medina and
                  Kevin Boucinha Fiorentin and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Lightweight security mechanisms for MPSoCs},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339876},
  doi          = {10.1145/3338852.3339876},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantAnaMFM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosME19,
  author       = {Reneilson Santos and
                  Edward David Moreno and
                  Carlos A. Estombelo{-}Montesco},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {A comparison of two embedded systems to detect electrical disturbances
                  using decision tree algorithm},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339878},
  doi          = {10.1145/3338852.3339878},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosME19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SborzPVZ19,
  author       = {Guilherme A. M. Sborz and
                  Guilherme A. Pohl and
                  Felipe Viel and
                  Cesar A. Zeferino},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {A custom processor for an FPGA-based platform for automatic license
                  plate recognition},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {15},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339867},
  doi          = {10.1145/3338852.3339867},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SborzPVZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SchuartzHMLL19,
  author       = {Luis Schuartz and
                  Artur T. Hara and
                  Andr{\'{e}} Augusto Mariano and
                  Bernardo Leite and
                  Eduardo G. Lima},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Comparison between direct and indirect learnings for the digital pre-distortion
                  of concurrent dual-band power amplifiers},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {20},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339842},
  doi          = {10.1145/3338852.3339842},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SchuartzHMLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMM19,
  author       = {Alzemiro Henrique Lucas da Silva and
                  Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Fine-grain temperature monitoring for many-core systems},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339841},
  doi          = {10.1145/3338852.3339841},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaNN19,
  author       = {Pedro Arthur R. L. Silva and
                  Omar Paranaiba Vilela Neto and
                  Jos{\'{e}} Augusto Miranda Nacif},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Toward nanometric scale integration: an automatic routing approach
                  for {NML} circuits},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {25},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339862},
  doi          = {10.1145/3338852.3339862},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaNN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaSG19,
  author       = {Rafael da Silva and
                  {\'{I}}caro Siqueira and
                  Mateus Grellert},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Approximate interpolation filters for the fractional motion estimation
                  in {HEVC} encoders and their {VLSI} design},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {17},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339859},
  doi          = {10.1145/3338852.3339859},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaSG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SousaASGPSC19,
  author       = {Antonio Jos{\'{e}} Sobrinho de Sousa and
                  Fabian Souza de Andrade and
                  Hildeloi dos Santos and
                  Gabriele Costa Goncalves and
                  Maicon Deivid Pereira and
                  Edson P. Santana and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {{CMOS} analog four-quadrant multiplier free of voltage reference generators},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {27},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339870},
  doi          = {10.1145/3338852.3339870},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SousaASGPSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberLC19,
  author       = {Tiago Oliveira Weber and
                  Diogo da Silva Labres and
                  Fabi{\'{a}}n Leonardo Cabrera},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Amplifier-based {MOS} analog neural network implementation and weights
                  optimization},
  booktitle    = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  pages        = {28},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852.3339866},
  doi          = {10.1145/3338852.3339866},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2019,
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Marcelo Lubaszewski and
                  Matteo Sonza Reorda},
  title        = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3338852},
  doi          = {10.1145/3338852},
  isbn         = {978-1-4503-6844-5},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AbreuSGPRCB18,
  author       = {Brunno Abreu and
                  Gustavo M. Santana and
                  Mateus Grellert and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploiting Partial Distortion Elimination in the Sum of Absolute Differences
                  for Energy-Efficient {HEVC} Integer Motion Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533241},
  doi          = {10.1109/SBCCI.2018.8533241},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbreuSGPRCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmeidaBM18,
  author       = {Roberto B. Almeida and
                  Paulo F. Butzen and
                  Cristina Meinhardt},
  title        = {16NM 6T and 8T {CMOS} {SRAM} Cell Robustness Against Process Variability
                  and Aging Effects},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533253},
  doi          = {10.1109/SBCCI.2018.8533253},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmeidaBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Anacona-Mosquera18,
  author       = {Oscar Anacona{-}Mosquera and
                  Felipe R. G. Cabral and
                  Renato Coral Sampaio and
                  George Teodoro and
                  Ricardo P. Jacobi and
                  Carlos H. Llanos},
  title        = {Efficient Hardware Implementation of the Fast Hybrid Morphological
                  Reconstruction Algorithm},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533237},
  doi          = {10.1109/SBCCI.2018.8533237},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Anacona-Mosquera18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarrosSL18,
  author       = {Jeferson Santos Barros and
                  Victor Hugo Schulz and
                  Djones Vinicius Lettnin},
  title        = {An Adaptive Closed-Loop Verification Approach in UVM-SystemC for {AMS}
                  Circuits},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533229},
  doi          = {10.1109/SBCCI.2018.8533229},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarrosSL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BaumgratzFSBT18,
  author       = {Filipe D. Baumgratz and
                  Sandro B. Ferreira and
                  Michiel Steyaert and
                  Sergio Bampi and
                  Filip Tavernier},
  title        = {A Charge-Sharing Bandpass Filter Topology with Boosted Q-Factor in
                  40-NM {CMOS}},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533233},
  doi          = {10.1109/SBCCI.2018.8533233},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BaumgratzFSBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BenevenutiLPKR18,
  author       = {Fabio Benevenuti and
                  Fabiano Libano and
                  Vincent Pouget and
                  Fernanda Lima Kastensmidt and
                  Paolo Rech},
  title        = {Comparative Analysis of Inference Errors in a Neural Network Implemented
                  in SRAM-Based {FPGA} Induced by Neutron Irradiation and Fault Injection
                  Methods},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533235},
  doi          = {10.1109/SBCCI.2018.8533235},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BenevenutiLPKR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BetempsPZ18,
  author       = {Carlos Michel Betemps and
                  Maur{\'{\i}}cio Lima Pilla and
                  Bruno Zatt},
  title        = {Hybrid Memory Cube in Embedded Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533260},
  doi          = {10.1109/SBCCI.2018.8533260},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BetempsPZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BraatzPAZP18,
  author       = {Luciano A. Braatz and
                  Daniel Palomino and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {Low-Power {HEVC} 1-D {IDCT} Hardware Architecture},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533236},
  doi          = {10.1109/SBCCI.2018.8533236},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BraatzPAZP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CantaliceBMC18,
  author       = {Rafael Cantalice and
                  Daniel Barcelos and
                  Fabricio Mattos and
                  Fernando Paix{\~{a}}o Cortes},
  title        = {A Differential Low Power Wake-Up Circuit Based on Systematic Offset
                  for {RFID} Applications},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533227},
  doi          = {10.1109/SBCCI.2018.8533227},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CantaliceBMC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaLL18,
  author       = {Weslley N. Costa and
                  Lucas P. Lima and
                  Ot{\'{a}}vio Alc{\^{a}}ntara de Lima Jr.},
  title        = {Extracting Packet Dependence from NoC Simulation Traces Using Association
                  Rule Mining},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533244},
  doi          = {10.1109/SBCCI.2018.8533244},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DiasB18,
  author       = {Cesar de S. Dias and
                  Paulo F. Butzen},
  title        = {A Novel {SPICE} Model of Memristive Devices with Threshold Current
                  Based Control},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533256},
  doi          = {10.1109/SBCCI.2018.8533256},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DiasB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DominguesHA18,
  author       = {Anderson R. P. Domingues and
                  Jean Carlo Hamerski and
                  Alexandre M. Amory},
  title        = {Broker Fault Recovery for a Multiprocessor System-an-Chip Middleware},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533254},
  doi          = {10.1109/SBCCI.2018.8533254},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DominguesHA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FabreGPNFL18,
  author       = {Sheiny Fabre and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel and
                  La{\'{e}}rcio Lima Pilla and
                  Renan Netto and
                  Tiago Fontana and
                  Vinicius S. Livramento},
  title        = {Enhancing Multi-Threaded Legalization Through k-d Tree Circuit Partitioning},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533264},
  doi          = {10.1109/SBCCI.2018.8533264},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FabreGPNFL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraSMPLC18,
  author       = {Pietro Maris Ferreira and
                  Martin Schaeffer and
                  Adel Mezaour and
                  Olivier Petit and
                  Caroline Lelandais{-}Perrault and
                  Gerald Charbonnier},
  title        = {A -40 to 250{\textdegree}C Triple Modular Redundancy Temperature Sensor
                  for Turbofan Engines},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533226},
  doi          = {10.1109/SBCCI.2018.8533226},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraSMPLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FierroS18,
  author       = {German Fierro and
                  Fernando Silveira},
  title        = {Ultra Low Power Tunable Filter for a Low Phase Shift on Electrocardiogram
                  QRS-Complex Acquisition},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533224},
  doi          = {10.1109/SBCCI.2018.8533224},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FierroS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FochiCSM18,
  author       = {Vinicius Fochi and
                  Luciano L. Caimi and
                  Marcelo H. da Silva and
                  Fernando Gehm Moraes},
  title        = {Fault-Tolerance at the Management Level in Many-Core Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533249},
  doi          = {10.1109/SBCCI.2018.8533249},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FochiCSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FontanaPAPVMFD18,
  author       = {Andr{\'{e}}s Fontana and
                  Sebasti{\'{a}}n Mat{\'{\i}}as Pazos and
                  Fernando L. Aguirre and
                  Felix Palumbo and
                  Nahuel Vega and
                  Nahuel A. M{\"{u}}ller and
                  Emanuel de la Fourniere and
                  Mario Debray},
  title        = {Heavy Ion Microbeam Experimental Study of {ASET} on a Full-Custom
                  {CMOS} OpAmp},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533232},
  doi          = {10.1109/SBCCI.2018.8533232},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FontanaPAPVMFD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FormigoniNN18,
  author       = {Ruan Evangelista Formigoni and
                  Omar P. Vilela Neto and
                  Jos{\'{e}} Augusto Miranda Nacif},
  title        = {{BANCS:} Bidirectional Alternating Nanomagnetic Clocking Scheme},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533251},
  doi          = {10.1109/SBCCI.2018.8533251},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FormigoniNN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FortesSG18,
  author       = {Anderson Fortes and
                  Luiz A. da Silva and
                  Alessandro Girardi},
  title        = {Low Power Bulk-Driven {OTA} Design Optimization Using Cuckoo Search
                  Algorithm},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533225},
  doi          = {10.1109/SBCCI.2018.8533225},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FortesSG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GalvaoMCCM18,
  author       = {Lucas C. Galvao and
                  Candice M{\"{u}}ller and
                  Maria Cristina Felippetto de Castro and
                  Fernando C{\'{e}}sar Comparsi de Castro and
                  Kayol Soares Mayer},
  title        = {Bandwidth Efficient Gaussian Minimum Frequency-Shift Keying Approach
                  for Software Defined Radio},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533245},
  doi          = {10.1109/SBCCI.2018.8533245},
  timestamp    = {Mon, 10 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GalvaoMCCM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoebelAAZP18,
  author       = {Jones Goebel and
                  Lucas Barreiro Agostini and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {High Throughput Multiplierless Architecture for {VP9} Fractional Motion
                  Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533255},
  doi          = {10.1109/SBCCI.2018.8533255},
  timestamp    = {Sat, 11 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoebelAAZP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HamerskiAROA18,
  author       = {Jean Carlo Hamerski and
                  Geancarlo Abich and
                  Ricardo Reis and
                  Luciano Ost and
                  Alexandre M. Amory},
  title        = {A Design Patterns-Based Middleware for Multiprocessor Systems-on-Chip},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533250},
  doi          = {10.1109/SBCCI.2018.8533250},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HamerskiAROA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/IshimaruFOMB18,
  author       = {Pedro J. A. Ishimaru and
                  Antonyus P. A. Ferreira and
                  Vanessa O. Ogg and
                  Cecil Accetti R. de A. Melo and
                  Edna Natividade da Silva Barros},
  title        = {An FPGA-Based {RFID} Baseband Processor Using a {RISC-V} Platform},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533242},
  doi          = {10.1109/SBCCI.2018.8533242},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/IshimaruFOMB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JordanKR18,
  author       = {Michael Guilherme Jordan and
                  Tiago Knorst and
                  Mateus Beck Rutzig},
  title        = {Improving Software Productivity and Performance Through a Transparent
                  {SIMD} Execution},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533261},
  doi          = {10.1109/SBCCI.2018.8533261},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JordanKR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KuentzerJMA18,
  author       = {Felipe A. Kuentzer and
                  Leonardo Rezende Juracy and
                  Matheus T. Moreira and
                  Alexandre M. Amory},
  title        = {Testable Error Detection Logic Design Applied to an Asynchronous Timing
                  Resilient Template},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533263},
  doi          = {10.1109/SBCCI.2018.8533263},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KuentzerJMA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsSN18,
  author       = {Tarciso A. Martins and
                  Julio Saldana and
                  Wilhelmus A. M. Van Noije},
  title        = {A Programmable Gain Amplifier for Load Demodulation Channel in an
                  {NFC} Reader Chip},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533230},
  doi          = {10.1109/SBCCI.2018.8533230},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsSN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MedeirosBRO18,
  author       = {Guilherme E. Medeiros and
                  Felipe T. Bortolon and
                  Ricardo Reis and
                  Luciano Ost},
  title        = {Evaluation of Compiler Optimization Flags Effects on Soft Error Resiliency},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533246},
  doi          = {10.1109/SBCCI.2018.8533246},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MedeirosBRO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoraesGP18,
  author       = {Robson F. de Moraes and
                  Juraci F. Galdino and
                  Ernesto L. Pinto},
  title        = {Energy Aware Demodulation Implementation with Fixed Point Adaptive
                  Precision for {OFDM} Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533259},
  doi          = {10.1109/SBCCI.2018.8533259},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoraesGP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoretoTG18,
  author       = {Rodrigo Alves De Lima Moreto and
                  Carlos Eduardo Thomaz and
                  Salvador Pinillos Gimenez},
  title        = {Automatic Optimization of Robust Analog {CMOS} ICs: An Interactive
                  Genetic Algorithm Driven by Human Knowledge},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533268},
  doi          = {10.1109/SBCCI.2018.8533268},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoretoTG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NetoPMMRR18,
  author       = {Walter Lau Neto and
                  Vinicius N. Possani and
                  Felipe S. Marranghello and
                  Jody Maick Matos and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {Exact Multi-Level Benchmark Circuit Generation for Logic Synthesis
                  Evaluation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533248},
  doi          = {10.1109/SBCCI.2018.8533248},
  timestamp    = {Thu, 25 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NetoPMMRR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunesO18,
  author       = {Rafael O. Nunes and
                  R. L. de Orio},
  title        = {Operational Amplifier Performance Degradation and Time-to-Failure
                  due to Electromigration},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533265},
  doi          = {10.1109/SBCCI.2018.8533265},
  timestamp    = {Tue, 28 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunesO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraMSM18,
  author       = {Bruno Scherer Oliveira and
                  Henrique Martins Medina and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Secure Environment Architecture for MPSoCs},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533238},
  doi          = {10.1109/SBCCI.2018.8533238},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraMSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PerlebergACSAZP18,
  author       = {Murilo R. Perleberg and
                  Vladimir Afonso and
                  Ruhan A. Concei{\c{c}}{\~{a}}o and
                  Altamiro Amadeu Susin and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {A Power-Efficient and High-Throughput Hardware Design for 3D-HEVC
                  Disparity Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533257},
  doi          = {10.1109/SBCCI.2018.8533257},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PerlebergACSAZP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PertuzLPM18,
  author       = {Sergio A. Pertuz and
                  Carlos H. Llanos and
                  Cesar A. Pena and
                  Daniel M. Mu{\~{n}}oz},
  title        = {A Modular and Distributed Impedance Control Architecture on a Chip
                  for a Robotic Hand},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533266},
  doi          = {10.1109/SBCCI.2018.8533266},
  timestamp    = {Sat, 28 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PertuzLPM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RahmeierCGG18,
  author       = {Jo{\~{a}}o G. Nizer Rahmeier and
                  Eduardo A. C. da Costa and
                  Alessandro Girardi and
                  Sidinei Ghissoni},
  title        = {Optimization of Single-Stage {FFT} Architectures Using Multiple Constant
                  Multiplication},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533258},
  doi          = {10.1109/SBCCI.2018.8533258},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RahmeierCGG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamirezF18,
  author       = {Jose L. Ramirez and
                  Fabiano Fruett},
  title        = {Multi-Terminal PiezoMOSFET Sensor for Stress Measurements in Silicon},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533243},
  doi          = {10.1109/SBCCI.2018.8533243},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamirezF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RibeiroCCN18,
  author       = {Marco A. Ribeiro and
                  Iago A. Carvalho and
                  Jeferson F. Chaves and
                  Omar P. Vilela Neto},
  title        = {Improving Energy Efficiency on Partially Reversible Pipelined {QCA}
                  Circuits},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533240},
  doi          = {10.1109/SBCCI.2018.8533240},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RibeiroCCN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Rodovalho18,
  author       = {Luis Henrique Rodovalho},
  title        = {Push-Pull Based Operational Transconductor Amplifier Topologies for
                  Ultra Low Voltage Supplies},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533223},
  doi          = {10.1109/SBCCI.2018.8533223},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Rodovalho18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezASM18,
  author       = {Gustavo Sanchez and
                  Luciano Agostini and
                  Leonel Sousa and
                  C{\'{e}}sar A. M. Marcon},
  title        = {3D-HEVC {DMM-1} Parallelism Exploration Targeting Multicore Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533252},
  doi          = {10.1109/SBCCI.2018.8533252},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezASM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezSAM18,
  author       = {Gustavo Sanchez and
                  M{\'{a}}rio Saldanha and
                  Luciano Volcan Agostini and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Hardware-Oriented Wedgelet Evaluation Skip for {DMM-1} in 3D-HEVC},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533231},
  doi          = {10.1109/SBCCI.2018.8533231},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezSAM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaL18,
  author       = {Leandro Dias da Silva and
                  Eduardo Gon{\c{c}}alves de Lima},
  title        = {A Novel Limiter with Application in Crest Factor Reduction Techniques
                  for Wireless Communications},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533234},
  doi          = {10.1109/SBCCI.2018.8533234},
  timestamp    = {Wed, 19 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMANMLB18,
  author       = {Thiago Werlley B. Silva and
                  Daniel C. Morais and
                  Halamo G. R. Andrade and
                  Felipe C. A. Nunes and
                  Elmar Uwe Kurt Melcher and
                  Antonio Marcus Nogueira de Lima and
                  Alisson V. Brito},
  title        = {A Distributed Functional Verification Environment for the Design of
                  System-on-Chip in Heterogeneous Architectures},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {4849--4854},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533247},
  doi          = {10.1109/SBCCI.2018.8533247},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMANMLB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TaruiSSLM18,
  author       = {Bruno Tarui and
                  F{\'{a}}vero Guilherme Santos and
                  Edson Leonardo dos Santos and
                  Bernardo Leite and
                  Andr{\'{e}} Augusto Mariano},
  title        = {Design of an {RF} Six-Mode {CMOS} Power Amplifier for Efficiency Improvement
                  at Power Backoff},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533222},
  doi          = {10.1109/SBCCI.2018.8533222},
  timestamp    = {Tue, 16 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TaruiSSLM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/UckerAASZPA18,
  author       = {Mariana Ucker and
                  Vladimir Afonso and
                  Luan Audibert and
                  Altamiro Amadeu Susin and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Luciano Volcan Agostini},
  title        = {Low-Power and High-Throughput Architecture for 3D-HEVC Depth Modeling
                  Mode 4},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533262},
  doi          = {10.1109/SBCCI.2018.8533262},
  timestamp    = {Tue, 20 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/UckerAASZPA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberMOC18,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Fernando Gehm Moraes and
                  Leonardo L. de Oliveira and
                  Everton Alceu Carara},
  title        = {Exploring Asynchronous End-to-End Communication Through a Synchronous
                  NoC},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533228},
  doi          = {10.1109/SBCCI.2018.8533228},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberMOC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2018,
  title        = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8513831/proceeding},
  isbn         = {978-1-5386-7431-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlonsoRZPB17,
  author       = {Camila de Matos Alonso and
                  F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {Low-power {HEVC} binarizer architecture for the {CABAC} block targeting
                  {UHD} video processing},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {30--35},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109988},
  doi          = {10.1145/3109984.3109988},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlonsoRZPB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Anacona-Mosquera17,
  author       = {Oscar Anacona{-}Mosquera and
                  George Teodoro and
                  Gustavo Vinhal and
                  Ricardo P. Jacobi and
                  Renato Coral Sampaio and
                  Carlos H. Llanos},
  editor       = {Jarbas A. N. Silveira},
  title        = {Efficient hardware implementation of morphological reconstruction
                  based on sequential reconstruction algorithm},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {162--167},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110020},
  doi          = {10.1145/3109984.3110020},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Anacona-Mosquera17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AndradeTGKDB17,
  author       = {Nelson Andrade and
                  Pedro Toledo and
                  Gabriel Teofilo Neves Guimaraes and
                  Hamilton Klimach and
                  Helga Dornelas and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {Low power {IEEE} 802.11ah receiver system-level design aiming for
                  IoT applications},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {11--16},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110013},
  doi          = {10.1145/3109984.3110013},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AndradeTGKDB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BelmonteCM17,
  author       = {Pablo Nunes Agra Belmonte and
                  L. M. Chaves and
                  Davies William de Lima Monteiro},
  editor       = {Jarbas A. N. Silveira},
  title        = {A pixel concept that simultaneously enables high dynamic range, high
                  sensitivity and operation in intense backgrounds},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {136--142},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110014},
  doi          = {10.1145/3109984.3110014},
  timestamp    = {Fri, 04 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BelmonteCM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BonattoRZPB17,
  author       = {Luana Vieira Martinez Bonatto and
                  F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {Low-power multi-size {HEVC} {DCT} architecture proposal for {QFHD}
                  video processing},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {41--46},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109987},
  doi          = {10.1145/3109984.3109987},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BonattoRZPB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BorgesBZPC17,
  author       = {Alex Borges and
                  Luciano A. Braatz and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Guilherme Corr{\^{e}}a},
  editor       = {Jarbas A. N. Silveira},
  title        = {Segmented spline hardware design for high dynamic range video pre-processor},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {143--148},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109999},
  doi          = {10.1145/3109984.3109999},
  timestamp    = {Wed, 23 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BorgesBZPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolonM17,
  author       = {Felipe T. Bortolon and
                  Fernando Gehm Moraes},
  editor       = {Jarbas A. N. Silveira},
  title        = {Hardware and software infrastructure to implement many-core systems
                  in modern FPGAs},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {79--83},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109997},
  doi          = {10.1145/3109984.3109997},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolonM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolonMMB17,
  author       = {Felipe T. Bortolon and
                  Fernando Gehm Moraes and
                  Matheus T. Moreira and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {Estimation methods for static noise margins in {CMOS} subthreshold
                  logic circuits},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {90--95},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109998},
  doi          = {10.1145/3109984.3109998},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolonMMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BrascherSG17,
  author       = {Andr{\'{e}} Beims Br{\"{a}}scher and
                  Ismael Seidel and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  editor       = {Jarbas A. N. Silveira},
  title        = {Improving the energy efficiency of a low-area {SATD} hardware architecture
                  using fine grain {PDE}},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {155--161},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110009},
  doi          = {10.1145/3109984.3110009},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BrascherSG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CaimiFWMM17,
  author       = {Luciano L. Caimi and
                  Vinicius Fochi and
                  Eduardo W{\"{a}}chter and
                  Daniel Munhoz and
                  Fernando Gehm Moraes},
  editor       = {Jarbas A. N. Silveira},
  title        = {Secure admission and execution of applications in many-core systems},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {65--71},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110015},
  doi          = {10.1145/3109984.3110015},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CaimiFWMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CambuimBB17,
  author       = {Lucas F. S. Cambuim and
                  Jo{\~{a}}o Paulo Fernandes Barbosa and
                  Edna Natividade da Silva Barros},
  editor       = {Jarbas A. N. Silveira},
  title        = {Hardware module for low-resource and real-time stereo vision engine
                  using semi-global matching approach},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {53--58},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109992},
  doi          = {10.1145/3109984.3109992},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CambuimBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CancellierSG17,
  author       = {Luiz Henrique Cancellier and
                  Ismael Seidel and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  editor       = {Jarbas A. N. Silveira},
  title        = {Block matching hardware architecture for SATD-based successive elimination},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110010},
  doi          = {10.1145/3109984.3110010},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CancellierSG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarvalhoSC17,
  author       = {Francelino Freitas Carvalho and
                  Alexandre Kennedy Pinto Souza and
                  Carlos Augusto de Moraes Cruz},
  editor       = {Jarbas A. N. Silveira},
  title        = {A novel hybrid polarization-quadrature pixel cluster for local light
                  angle and intensity detection},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {17--23},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110012},
  doi          = {10.1145/3109984.3110012},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarvalhoSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FonsecaKABSF17,
  author       = {Adriano V. Fonseca and
                  Rachid El Khattabi and
                  William A. Afshari and
                  Fernando A. P. Bar{\'{u}}qui and
                  Carlos Fernando Teod{\'{o}}sio Soares and
                  Pietro Maris Ferreira},
  editor       = {Jarbas A. N. Silveira},
  title        = {A temperature-aware analysis of latched comparators for smart vehicle
                  applications},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109994},
  doi          = {10.1145/3109984.3109994},
  timestamp    = {Sun, 12 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FonsecaKABSF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FonsecaWSMN17,
  author       = {Amanda F. Fonseca and
                  Douglas L. Willian and
                  Thiago Rodrigues B. S. Soares and
                  Luiz G. C. Melo and
                  Omar P. Vilela Neto},
  editor       = {Jarbas A. N. Silveira},
  title        = {{CAM/TCAM} - {NML:} (ternary) content addressable memory implemented
                  with nanomagnetic logic},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {174--179},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110004},
  doi          = {10.1145/3109984.3110004},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FonsecaWSMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FontanaANLGPG17,
  author       = {Tiago Augusto Fontana and
                  Sheiny Almeida and
                  Renan Netto and
                  Vinicius S. Livramento and
                  Chrystian Guth and
                  La{\'{e}}rcio Lima Pilla and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  editor       = {Jarbas A. N. Silveira},
  title        = {Exploiting cache locality to speedup register clustering},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {191--197},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110005},
  doi          = {10.1145/3109984.3110005},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FontanaANLGPG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GhalebBCE17,
  author       = {Hatem Ghaleb and
                  Guido Belfiore and
                  Corrado Carta and
                  Frank Ellinger},
  editor       = {Jarbas A. N. Silveira},
  title        = {A SiGe {HBT} limiting amplifier for fast switching of mm-wave super-regenerative
                  oscillators},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {114--119},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109990},
  doi          = {10.1145/3109984.3109990},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GhalebBCE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuazzelliMNC17,
  author       = {Ricardo A. Guazzelli and
                  Matheus T. Moreira and
                  Walter Lau Neto and
                  Ney Laert Vilar Calazans},
  editor       = {Jarbas A. N. Silveira},
  title        = {Sleep convention logic isochronic fork: an analysis},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {103--109},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110022},
  doi          = {10.1145/3109984.3110022},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuazzelliMNC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HannaDF17,
  author       = {Tony Hanna and
                  Nathalie Deltimple and
                  S{\'{e}}bastien Fregonese},
  editor       = {Jarbas A. N. Silveira},
  title        = {A class-J power amplifier for 5G applications in 28nm {CMOS} {FD-SOI}
                  technology},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {110--113},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110018},
  doi          = {10.1145/3109984.3110018},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HannaDF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MakaraMM17,
  author       = {Felipe Makara and
                  Lucas Mangini and
                  Andr{\'{e}} Augusto Mariano},
  editor       = {Jarbas A. N. Silveira},
  title        = {A 34fJ/conversion-step 10-bit 6.66MS/s {SAR} {ADC} with built-in digital
                  calibration in 130nm {CMOS}},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {180--184},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110016},
  doi          = {10.1145/3109984.3110016},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MakaraMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MilfontMFCMTS17,
  author       = {Ronaldo T. P. Milfont and
                  Rafael Goncalves Mota and
                  Jo{\~{a}}o Marcelo Ferreira and
                  Paulo C{\'{e}}sar Cortez and
                  C{\'{e}}sar A. M. Marcon and
                  Daniel A. B. Tavares and
                  Jarbas A. N. Silveira},
  editor       = {Jarbas A. N. Silveira},
  title        = {Latency reduction of fault-tolerant NoCs by employing multiple paths},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {72--78},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109985},
  doi          = {10.1145/3109984.3109985},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MilfontMFCMTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraRK17,
  author       = {{\'{A}}dria Barros de Oliveira and
                  Gennaro Severino Rodrigues and
                  Fernanda Lima Kastensmidt},
  editor       = {Jarbas A. N. Silveira},
  title        = {Analyzing lockstep dual-core {ARM} cortex-A9 soft error mitigation
                  in freeRTOS applications},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {84--89},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110008},
  doi          = {10.1145/3109984.3110008},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraRK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OrlandoRD17,
  author       = {Julien Orlando and
                  Francois Rivet and
                  Yann Deval},
  editor       = {Jarbas A. N. Silveira},
  title        = {A radio-frequency real-time spectrum sensor based on an analog signal
                  processing magnitude calculator},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {7--10},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110003},
  doi          = {10.1145/3109984.3110003},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OrlandoRD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PaimFRCAB17,
  author       = {Guilherme Paim and
                  Rafael S. Ferreira and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Tiago Giacomelli Alves and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {A power-predictive environment for fast and power-aware ASIC-based
                  {FIR} filter design},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {168--173},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110021},
  doi          = {10.1145/3109984.3110021},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PaimFRCAB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamosZPB17,
  author       = {F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  editor       = {Jarbas A. N. Silveira},
  title        = {Novel multiple bypass bins scheme for low-power {UHD} video processing
                  {HEVC} binary arithmetic encoder architecture},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {47--52},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110017},
  doi          = {10.1145/3109984.3110017},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamosZPB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RochaTT17,
  author       = {M. M. Rocha and
                  Antonio C. C. Telles and
                  Ricardo Cotrin Teixeira},
  editor       = {Jarbas A. N. Silveira},
  title        = {Development of microtransformers using {MCM} and electronic packaging
                  technologies},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {125--128},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109995},
  doi          = {10.1145/3109984.3109995},
  timestamp    = {Wed, 28 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RochaTT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezMAM17,
  author       = {Gustavo Sanchez and
                  Filipo M{\'{o}}r and
                  Luciano Volcan Agostini and
                  C{\'{e}}sar A. M. Marcon},
  editor       = {Jarbas A. N. Silveira},
  title        = {Low-area scalable hardware architecture for {DMM-1} encoder of 3D-HEVC
                  video coding standard},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {36--40},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109986},
  doi          = {10.1145/3109984.3109986},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezMAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosCSJAL17,
  author       = {Carlos Eduardo dos Santos and
                  Leandro dos Santos Coelho and
                  Renato Coral Sampaio and
                  Ricardo P. Jacobi and
                  Helon V. H. Ayala and
                  Carlos H. Llanos},
  editor       = {Jarbas A. N. Silveira},
  title        = {A {SVM} optimization tool and {FPGA} system architecture applied to
                  {NMPC}},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {96--102},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110007},
  doi          = {10.1145/3109984.3110007},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosCSJAL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SardinhaNOC17,
  author       = {Luiz Henrique Borges Sardinha and
                  Omar Paranaiba Vilela Neto and
                  Vitor Buxbaum Orlandi and
                  S{\'{e}}rgio Vale Aguiar Campos},
  editor       = {Jarbas A. N. Silveira},
  title        = {Simplified model for automatic {QCA} circuitry verification},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {204--209},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110011},
  doi          = {10.1145/3109984.3110011},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SardinhaNOC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaFMFS17,
  author       = {Johanna Sep{\'{u}}lveda and
                  Ramon Fernandes and
                  C{\'{e}}sar A. M. Marcon and
                  Daniel Florez and
                  Georg Sigl},
  editor       = {Jarbas A. N. Silveira},
  title        = {A security-aware routing implementation for dynamic data protection
                  in zone-based MPSoC},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {59--64},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109996},
  doi          = {10.1145/3109984.3109996},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaFMFS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaLFVSM17,
  author       = {Felipe G. A. e Silva and
                  Ot{\'{a}}vio A. de Lima and
                  Walter da C. Freitas and
                  Fabian Vargas and
                  Jarbas Silveira and
                  C{\'{e}}sar A. M. Marcon},
  editor       = {Jarbas A. N. Silveira},
  title        = {An efficient, low-cost {ECC} approach for critical-application memories},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {198--203},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110001},
  doi          = {10.1145/3109984.3110001},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaLFVSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaS17,
  author       = {Andrei Silva and
                  Frank Sill},
  editor       = {Jarbas A. N. Silveira},
  title        = {Mitigation of aging effects through selective time-borrowing and alternative
                  path activation},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {210--216},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110006},
  doi          = {10.1145/3109984.3110006},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SpinnerF17,
  author       = {Jens Spinner and
                  J{\"{u}}rgen Freudenberger},
  editor       = {Jarbas A. N. Silveira},
  title        = {A decoder with soft decoding capability for high-rate generalized
                  concatenated codes with applications in non-volatile flash memories},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {185--190},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109989},
  doi          = {10.1145/3109984.3109989},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SpinnerF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TellesPF17,
  author       = {Antonio C. C. Telles and
                  Jose Antenor Pomilio and
                  Saulo Finco},
  editor       = {Jarbas A. N. Silveira},
  title        = {Modeling of a {MOS} ultralow voltage oscillator: experimental results},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {24--29},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109993},
  doi          = {10.1145/3109984.3109993},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TellesPF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TestaTBCE17,
  author       = {Paolo Valerio Testa and
                  Manu Viswambharan Thayyil and
                  Guido Belfiore and
                  Corrado Carta and
                  Frank Ellinger},
  editor       = {Jarbas A. N. Silveira},
  title        = {High-impedance multi-conductor transmission-lines for integrated applications
                  at millimeter-wave frequency},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {129--135},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3109991},
  doi          = {10.1145/3109984.3109991},
  timestamp    = {Mon, 06 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TestaTBCE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VaillantR17,
  author       = {Victor Vaillant and
                  Fran{\c{c}}ois Rivet},
  editor       = {Jarbas A. N. Silveira},
  title        = {An analog {RF} fully differential common mode controlled delay line
                  in 28nm {FDSOI} technology},
  booktitle    = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  pages        = {120--124},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3109984.3110002},
  doi          = {10.1145/3109984.3110002},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VaillantR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2017,
  editor       = {Jarbas A. N. Silveira},
  title        = {Proceedings of the 30th Symposium on Integrated Circuits and Systems
                  Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}},
                  Brazil, August 28 - September 01, 2017},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {http://dl.acm.org/citation.cfm?id=3109984},
  isbn         = {978-1-4503-5106-5},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlbuquerqueFSBC16,
  author       = {Erika S. Albuquerque and
                  Antonyus Pyetro do Amaral Ferreira and
                  Joao G. M. Silva and
                  Jo{\~{a}}o Paulo Fernandes Barbosa and
                  Renato L. M. Carlos and
                  Djeefther S. Albuquerque and
                  Edna Natividade da Silva Barros},
  title        = {An FPGA-based accelerator for multiple real-time template matching},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724071},
  doi          = {10.1109/SBCCI.2016.7724071},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlbuquerqueFSBC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmayaGR16,
  author       = {Andres Amaya and
                  H{\'{e}}ctor G{\'{o}}mez and
                  Elkim Roa},
  title        = {A digital offset correction method for high speed analog front-ends},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724077},
  doi          = {10.1109/SBCCI.2016.7724077},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmayaGR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Anacona-Mosquera16,
  author       = {Oscar Anacona{-}Mosquera and
                  Janier Arias{-}Garcia and
                  Daniel M. Mu{\~{n}}oz Arboleda and
                  Carlos H. Llanos},
  title        = {Efficient hardware implementation of the Richardson-Lucy Algorithm
                  for restoring motion-blurred image on reconfigurable digital system},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724056},
  doi          = {10.1109/SBCCI.2016.7724056},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Anacona-Mosquera16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BisiauxLKBS16,
  author       = {Pierre Bisiaux and
                  Caroline Lelandais{-}Perrault and
                  Anthony Kolar and
                  Philippe B{\'{e}}nab{\`{e}}s and
                  Filipe Vinci dos Santos},
  title        = {A new two-step {\(\Sigma\)}{\(\Delta\)} architecture column-parallel
                  {ADC} for {CMOS} image sensor},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724065},
  doi          = {10.1109/SBCCI.2016.7724065},
  timestamp    = {Fri, 18 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BisiauxLKBS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BortolonFGBCHM16,
  author       = {Felipe Todeschini Bortolon and
                  Sergio Johann Filho and
                  Matheus Gibiluka and
                  Sergio Bampi and
                  Ney Laert Vilar Calazans and
                  Fabiano Passuelo Hessel and
                  Matheus Trevisan Moreira},
  title        = {Design and analysis of the {HF-RISC} processor targeting voltage scaling
                  applications},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724047},
  doi          = {10.1109/SBCCI.2016.7724047},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BortolonFGBCHM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BrumW16,
  author       = {Raphael Martins Brum and
                  Gilson I. Wirth},
  title        = {MagPDK: An open-source process design kit for circuit design with
                  magnetic tunnel junctions},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724055},
  doi          = {10.1109/SBCCI.2016.7724055},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BrumW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CastilhosMO16,
  author       = {Guilherme M. Castilhos and
                  Fernando Gehm Moraes and
                  Luciano Ost},
  title        = {A lightweight software-based runtime temperature monitoring model
                  for multiprocessor embedded systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724040},
  doi          = {10.1109/SBCCI.2016.7724040},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CastilhosMO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CataldoKFMM16,
  author       = {Rodrigo Cataldo and
                  Guilherme Korol and
                  Ramon Fernandes and
                  Debora Matos and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Architectural exploration of Last-Level Caches targeting homogeneous
                  multicore systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724050},
  doi          = {10.1109/SBCCI.2016.7724050},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CataldoKFMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CordovaOTKBF16,
  author       = {David Cordova and
                  Arthur Campos de Oliveira and
                  Pedro Toledo and
                  Hamilton Klimach and
                  Sergio Bampi and
                  Eric E. Fabris},
  title        = {A 0.3 V, high-PSRR, picowatt NMOS-only voltage reference using zero-VT
                  active loads},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724045},
  doi          = {10.1109/SBCCI.2016.7724045},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CordovaOTKBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaKB16,
  author       = {Arthur Liraneto Torres Costa and
                  Hamilton Klimach and
                  Sergio Bampi},
  title        = {A 450 mV supply self-biased wideband inductorless balun {LNA} for
                  sub-GHz applications},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724068},
  doi          = {10.1109/SBCCI.2016.7724068},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaKB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DevalR16,
  author       = {Yann Deval and
                  Francois Rivet},
  title        = {A balanced logic routing block for Factorial-DLL based Frequency Generation},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724061},
  doi          = {10.1109/SBCCI.2016.7724061},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DevalR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FernandesMCSSS16,
  author       = {Ramon Fernandes and
                  C{\'{e}}sar A. M. Marcon and
                  Rodrigo Cataldo and
                  Jarbas Silveira and
                  Georg Sigl and
                  Martha Johanna Sep{\'{u}}lveda},
  title        = {A security aware routing approach for NoC-based MPSoCs},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724054},
  doi          = {10.1109/SBCCI.2016.7724054},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FernandesMCSSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraSAFBLM16,
  author       = {Antonyus Pyetro do Amaral Ferreira and
                  Joao G. M. Silva and
                  Jefferson R. L. Anjos and
                  Luiz H. A. Figueiroa and
                  Edna Natividade da Silva Barros and
                  Manoel Eus{\'{e}}bio de Lima and
                  Victor Wanderley Costa de Medeiros},
  title        = {A hardware accelerator for the alignment of multiple {DNA} sequences},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724069},
  doi          = {10.1109/SBCCI.2016.7724069},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraSAFBLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GibilukaMNC16,
  author       = {Matheus Gibiluka and
                  Matheus Trevisan Moreira and
                  Walter Lau Neto and
                  Ney Laert Vilar Calazans},
  title        = {A standard cell characterization flow for non-standard voltage supplies},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724046},
  doi          = {10.1109/SBCCI.2016.7724046},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GibilukaMNC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuimaraesT16,
  author       = {Mario Vinicius Guimaraes and
                  Frank Sill Torres},
  title        = {Automatic layout integration of Bulk Built-In Current Sensors for
                  detection of soft errors},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724073},
  doi          = {10.1109/SBCCI.2016.7724073},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuimaraesT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JaimesS16,
  author       = {Arturo Fajardo Jaimes and
                  Fernando Rangel de Sousa},
  title        = {Modeling and design of high-efficiency power amplifiers fed by limited
                  power sources},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724042},
  doi          = {10.1109/SBCCI.2016.7724042},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JaimesS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JaimesS16a,
  author       = {Arturo Fajardo Jaimes and
                  Fernando Rangel de Sousa},
  title        = {Integrated {CMOS} class-E power amplifier for self-sustaining wireless
                  power transfer system},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724043},
  doi          = {10.1109/SBCCI.2016.7724043},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JaimesS16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LussariOFV16,
  author       = {Eduardo Lussari and
                  Duarte Lopes de Oliveira and
                  Lester de Abreu Faria and
                  Orlando Verducci Jr.},
  title        = {Software-Defined Radio design based on {GALS} architecture for FPGAs},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724076},
  doi          = {10.1109/SBCCI.2016.7724076},
  timestamp    = {Thu, 14 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LussariOFV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MagalhaesHLN16,
  author       = {Felipe Gohring de Magalhaes and
                  Fabiano Hessel and
                  Odile Liboiron{-}Ladouceur and
                  Gabriela Nicolescu},
  title        = {Cluster-based architecture relying on Optical Integrated Networks
                  with the provision of a low-latency arbiter},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724053},
  doi          = {10.1109/SBCCI.2016.7724053},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MagalhaesHLN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquesB16,
  author       = {Ademir Marques Jr. and
                  Alexandro Baldassin},
  title        = {Energy-aware scheduling in transactional memory systems},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724062},
  doi          = {10.1109/SBCCI.2016.7724062},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquesB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MeloSMAZRP16,
  author       = {Mateus Melo and
                  Gustavo H. Smaniotto and
                  Henrique Maich and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Leomar Rosa and
                  Marcelo Schiavon Porto},
  title        = {A parallel Motion Estimation solution for heterogeneous System on
                  Chip},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724063},
  doi          = {10.1109/SBCCI.2016.7724063},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MeloSMAZRP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NettoLGSG16,
  author       = {Renan Netto and
                  Vinicius S. Livramento and
                  Chrystian Guth and
                  Luiz C. V. dos Santos and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {Evaluating the impact of circuit legalization on incremental optimization
                  techniques},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724041},
  doi          = {10.1109/SBCCI.2016.7724041},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NettoLGSG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraASGS16,
  author       = {Mateus S. Oliveira and
                  Paulo C{\'{e}}sar Comassetto de Aguirre and
                  Lucas C. Severo and
                  Alessandro Girardi and
                  Altamiro Amadeu Susin},
  title        = {A digitally tunable 4th-order Gm-C low-pass filter for multi-standards
                  receivers},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724064},
  doi          = {10.1109/SBCCI.2016.7724064},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraASGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraLGBP16,
  author       = {Fernanda D. V. R. Oliveira and
                  Tiago M. de F. Lopes and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Fernando Antonio Pinto Bar{\'{u}}qui and
                  Antonio Petraglia},
  title        = {Focal-plane image encoder with cascode current mirrors and increased
                  vector quantization bit rate},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724059},
  doi          = {10.1109/SBCCI.2016.7724059},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraLGBP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveraP16,
  author       = {Fabian Olivera and
                  Antonio Petraglia},
  title        = {Analytic boundaries for 6T-SRAM design in standby mode},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724074},
  doi          = {10.1109/SBCCI.2016.7724074},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveraP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PetitprezCHCTJS16,
  author       = {E. Petitprez and
                  Dalton M. Colombo and
                  Felipe M. Henes and
                  Laurent Courcelle and
                  R. Tararam and
                  S. Jacobsen and
                  R. Soares and
                  C. Krug and
                  Marcelo Lubaszewski},
  title        = {Successful prototyping of complex integrated circuits with focused
                  ion beam},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724072},
  doi          = {10.1109/SBCCI.2016.7724072},
  timestamp    = {Mon, 12 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PetitprezCHCTJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PiovezanCS16,
  author       = {Felipe Piovezan and
                  Tarcisio E. M. Crocomo and
                  Luiz C. V. dos Santos},
  title        = {Cache sizing for low-energy Elliptic Curve Cryptography},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724052},
  doi          = {10.1109/SBCCI.2016.7724052},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PiovezanCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PonchetBFPTFS16,
  author       = {Andr{\'{e}} F. Ponchet and
                  Ezio M. Bastida and
                  Celio Finardi and
                  Roberto R. Panepucci and
                  Stefan Tenenbaum and
                  Saulo Finco and
                  Jacobus W. Swart},
  title        = {A design methodology for low-noise {CMOS} transimpedance amplifiers
                  based on shunt-shunt feedback topology},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724067},
  doi          = {10.1109/SBCCI.2016.7724067},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PonchetBFPTFS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamosGZPB16,
  author       = {F{\'{a}}bio Lu{\'{\i}}s Livi Ramos and
                  Jones Goebel and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  title        = {Low-power hardware design for the {HEVC} Binary Arithmetic Encoder
                  targeting 8K videos},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724044},
  doi          = {10.1109/SBCCI.2016.7724044},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamosGZPB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReinbrechtSBSS16,
  author       = {Cezar Reinbrecht and
                  Altamiro Amadeu Susin and
                  Lilian Bossuet and
                  Georg Sigl and
                  Martha Johanna Sep{\'{u}}lveda},
  title        = {Side channel attack on NoC-based MPSoCs are practical: NoC Prime+Probe
                  attack},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724051},
  doi          = {10.1109/SBCCI.2016.7724051},
  timestamp    = {Wed, 09 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReinbrechtSBSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RivetFMGD16,
  author       = {Francois Rivet and
                  Elina Fiawoo and
                  Richard Montigny and
                  Patrick Garrec and
                  Yann Deval},
  title        = {An ultra wide band analog-to-digital converter based on a Delta-Riemann
                  architecture},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724060},
  doi          = {10.1109/SBCCI.2016.7724060},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RivetFMGD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezAM16,
  author       = {Gustavo Sanchez and
                  Luciano Volcan Agostini and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Energy-aware light-weight {DMM-1} patterns decoders with efficiently
                  storage in 3D-HEVC},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724038},
  doi          = {10.1109/SBCCI.2016.7724038},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SchivittzFFNMB16,
  author       = {Rafael B. Schivittz and
                  Rafael Fritz and
                  Denis Teixeira Franco and
                  Lirida A. B. Naviner and
                  Cristina Meinhardt and
                  Paulo F. Butzen},
  title        = {Inserting permanent fault input dependence on {PTM} to improve robustness
                  evaluation},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724070},
  doi          = {10.1109/SBCCI.2016.7724070},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SchivittzFFNMB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Schreiber-Prillwitz16,
  author       = {Wolfgang Schreiber{-}Prillwitz and
                  Reinhart Job},
  title        = {Development process for {MEMS} pressure sensors for standarized {CMOS}
                  read-out circuitry},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724037},
  doi          = {10.1109/SBCCI.2016.7724037},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Schreiber-Prillwitz16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SimaticCBF16,
  author       = {Jean Simatic and
                  Abdelkarim Cherkaoui and
                  Rodrigo Possamai Bastos and
                  Laurent Fesquet},
  title        = {New asynchronous protocols for enhancing area and throughput in bundled-data
                  pipelines},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724066},
  doi          = {10.1109/SBCCI.2016.7724066},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SimaticCBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SimbelieLMPQRC16,
  author       = {Frederique Simbelie and
                  Sylvain Laurent and
                  Pierre Medrel and
                  Michel Prigent and
                  Raymond Qu{\'{e}}r{\'{e}} and
                  Myrianne Regis and
                  Yann Creveuil},
  title        = {Characterization and nonlinear modeling of MASMOS\({}^{\mbox{{\textregistered}}}\)
                  transistor in order to design power amplifiers for {LTE} applications},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724058},
  doi          = {10.1109/SBCCI.2016.7724058},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SimbelieLMPQRC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresDCB16,
  author       = {Leonardo Bandeira Soares and
                  Cl{\'{a}}udio Machado Diniz and
                  Eduardo Antonio Cesar da Costa and
                  Sergio Bampi},
  title        = {A novel pruned-based algorithm for energy-efficient {SATD} operation
                  in the {HEVC} coding},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724049},
  doi          = {10.1109/SBCCI.2016.7724049},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresDCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ToledoTCKBF16,
  author       = {Pedro Toledo and
                  Rene Timbo and
                  David Cordova and
                  Hamilton Klimach and
                  Sergio Bampi and
                  Eric E. Fabris},
  title        = {A 0.7V Fully Differential First Order {GZTC-C} filter},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724075},
  doi          = {10.1109/SBCCI.2016.7724075},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ToledoTCKBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TrindadeFNSN16,
  author       = {Alyson Trindade and
                  Ricardo S. Ferreira and
                  Jos{\'{e}} Augusto Miranda Nacif and
                  Douglas Sales and
                  Omar P. Vilela Neto},
  title        = {A Placement and routing algorithm for Quantum-dot Cellular Automata},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724048},
  doi          = {10.1109/SBCCI.2016.7724048},
  timestamp    = {Fri, 04 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TrindadeFNSN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YamauchiS16,
  author       = {Hiroyuki Yamauchi and
                  Worawit Somha},
  title        = {A mutual rectification-interference avoidance technique with cascade
                  filters for both downward-direction tailed-RDF deconvolution},
  booktitle    = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SBCCI.2016.7724039},
  doi          = {10.1109/SBCCI.2016.7724039},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/YamauchiS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2016,
  title        = {29th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2016, Belo Horizonte, Brazil, August 29 - September 3, 2016},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7593174/proceeding},
  isbn         = {978-1-5090-2736-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AfonsoMAZPA15,
  author       = {Vladimir Afonso and
                  Henrique Maich and
                  Luan Audibert and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Luciano Volcan Agostini},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Memory-Aware and High-Throughput Hardware Design for the {HEVC} Fractional
                  Motion Estimation},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {11:1--11:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801017},
  doi          = {10.1145/2800986.2801017},
  timestamp    = {Tue, 06 Nov 2018 16:58:27 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AfonsoMAZPA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BaumgratzLBS15,
  author       = {Filipe D. Baumgratz and
                  Hao Li and
                  Sergio Bampi and
                  Carlos E. Saavedra},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Wideband Low Noise Variable Gain Amplifier},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {30:1--30:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801029},
  doi          = {10.1145/2800986.2801029},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BaumgratzLBS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CKFM15,
  author       = {Jhon A. Gomez C. and
                  Hamilton Klimach and
                  Eric E. Fabris and
                  Oscar E. Mattia},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {High {PSRR} Nano-Watt MOS-Only Threshold Voltage Monitor Circuit},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {6:1--6:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801009},
  doi          = {10.1145/2800986.2801009},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CKFM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CabreraS15,
  author       = {Fabi{\'{a}}n Leonardo Cabrera and
                  Fernando Rangel de Sousa},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A 25-dBm 1-GHz Power Amplifier Integrated in {CMOS} 180nm for Wireless
                  Power Transferring},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {25:1--25:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800989},
  doi          = {10.1145/2800986.2800989},
  timestamp    = {Fri, 13 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CabreraS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CampanaKB15,
  author       = {Renato Campana V. and
                  Hamilton Klimach and
                  Sergio Bampi},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {0.5 {V} Supply Resistorless Voltage Reference for Low Voltage Applications},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {8:1--8:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800987},
  doi          = {10.1145/2800986.2800987},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CampanaKB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CanalNRF15,
  author       = {Bruno Canal and
                  Cicero S. Nunes and
                  Renato P. Ribas and
                  Eric E. Fabris},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {{MCML} Gate Design for Standard Cell Library},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {3:1--3:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801016},
  doi          = {10.1145/2800986.2801016},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CanalNRF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CantaliceSCL15,
  author       = {Rafael Cantalice and
                  Alexandre Simionovski and
                  Fernando Paix{\~{a}}o Cortes and
                  Marcelo Lubaszewski},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Low power, high-sensitivity clock recovery circuit for {LF/HF} {RFID}
                  applications},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {22:1--22:5},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801015},
  doi          = {10.1145/2800986.2801015},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CantaliceSCL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CapovillaCA15,
  author       = {Jefferson Capovilla and
                  Mario L{\'{u}}cio C{\^{o}}rtes and
                  Guido Araujo},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Improving the Statistical Variability of Delay-based Physical Unclonable
                  Functions},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {41:1--41:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801010},
  doi          = {10.1145/2800986.2801010},
  timestamp    = {Thu, 28 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CapovillaCA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CardosoRM15,
  author       = {Maicon Schneider Cardoso and
                  Leomar Soares da Rosa Jr. and
                  Felipe de Souza Marques},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Evaluating Geometric Aspects of Non-Series-Parallel Cells},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {16:1--16:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801008},
  doi          = {10.1145/2800986.2801008},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CardosoRM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CordovaTKBF15,
  author       = {David Cordova and
                  Pedro Toledo and
                  Hamilton Klimach and
                  Sergio Bampi and
                  Eric E. Fabris},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {0.5 {V} Supply Voltage Reference Based on the {MOSFET} {ZTC} Condition},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {9:1--9:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800988},
  doi          = {10.1145/2800986.2800988},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CordovaTKBF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorreaPZA15,
  author       = {Marcel Moscarelli Corr{\^{e}}a and
                  Marcelo Schiavon Porto and
                  Bruno Zatt and
                  Luciano Volcan Agostini},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A Low-Area and High-Throughput Intra Prediction Architecture for a
                  Multi-Standard {HEVC} and {H.264/AVC} Video Encoder},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {10:1--10:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800994},
  doi          = {10.1145/2800986.2800994},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorreaPZA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaKB15,
  author       = {Arthur Liraneto Torres Costa and
                  Hamilton Klimach and
                  Sergio Bampi},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A 2-decades Wideband Low-Noise Amplifier with High Gain and {ESD}
                  Protection},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {31:1--31:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800990},
  doi          = {10.1145/2800986.2800990},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaKB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CurtinhasCOFS15,
  author       = {Tiago S. Curtinhas and
                  Tassio Cortes Cavalcante and
                  Duarte Lopes de Oliveira and
                  Lester de Abreu Faria and
                  Osamu Saotome},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Minimization and Encoding of High Performance Asynchronous State Machines
                  Based on Genetic Algorithm},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {4:1--4:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801018},
  doi          = {10.1145/2800986.2801018},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CurtinhasCOFS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DreschmannHGHB15,
  author       = {Michael Dreschmann and
                  Jan Heisswolf and
                  Michael Geiger and
                  Manuel Hau{\ss}ecker and
                  J{\"{u}}rgen Becker},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A Framework for Multi-FPGA Interconnection using Multi Gigabit Transceivers},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {5:1--5:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800993},
  doi          = {10.1145/2800986.2800993},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DreschmannHGHB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraKB15,
  author       = {Pietro Maris Ferreira and
                  Anthony Kolar and
                  Philippe B{\'{e}}nab{\`{e}}s},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Optimization Methodology for a 460-MHz-GBW and 80-dB-SNR Low-Power
                  Current-Mode Amplifier},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {21:1--21:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801020},
  doi          = {10.1145/2800986.2801020},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraKB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GomesAFN15,
  author       = {Andr{\'{e}} B. M. Gomes and
                  Fredy A. M. Alves and
                  Ricardo S. Ferreira and
                  Jos{\'{e}} Augusto Miranda Nacif},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Increasing Observability in Post-Silicon Debug Using Asymmetric Omega
                  Networks},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {17:1--17:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801011},
  doi          = {10.1145/2800986.2801011},
  timestamp    = {Fri, 04 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GomesAFN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuazzelliMCM15,
  author       = {Ricardo A. Guazzelli and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans and
                  Matheus T. Moreira},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {{SDDS-NCL} Design: Analysis of Supply Voltage Scaling},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {2:1--2:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800999},
  doi          = {10.1145/2800986.2800999},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuazzelliMCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HellwegeHPP15,
  author       = {Nico Hellwege and
                  Nils Heidmann and
                  Steffen Paul and
                  Dagmar Peters{-}Drolshagen},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Optimum Operating Points of Transistors with minimal Aging-Aware Sensitivity},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {14:1--14:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801021},
  doi          = {10.1145/2800986.2801021},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HellwegeHPP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KologeskiZK15,
  author       = {Anelise Kologeski and
                  Henrique Colao Zanuz and
                  Fernanda Lima Kastensmidt},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Latency Improvement with Traffic Flow Analysis in a 3D NoC under Multiple
                  Faulty TSVs Scenario},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {29:1--29:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801014},
  doi          = {10.1145/2800986.2801014},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KologeskiZK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LababidiRJMLL15,
  author       = {Raafat Lababidi and
                  Fr{\'{e}}d{\'{e}}ric Le Roy and
                  D. Le Jeune and
                  Ali Mansour and
                  Julien Lintignat and
                  Ali Louzir},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Highly integrated Active Dual Response Filter},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {37:1--37:4},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801019},
  doi          = {10.1145/2800986.2801019},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LababidiRJMLL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeiteKB15,
  author       = {Bernardo Leite and
                  Eric Kerherv{\'{e}} and
                  Didier Belot},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Design of 28 nm {CMOS} integrated transformers for a 60 GHz power
                  amplifier},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {23:1--23:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801002},
  doi          = {10.1145/2800986.2801002},
  timestamp    = {Wed, 19 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeiteKB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaP15,
  author       = {Jader A. De Lima and
                  Wallace A. Pimenta},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A Current Limiter for Linear Regulators Based on Power-Dissipation
                  Threshold},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {43:1--43:5},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://dl.acm.org/citation.cfm?id=2800991},
  timestamp    = {Wed, 28 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MandelliCSOM15,
  author       = {Marcelo Mandelli and
                  Guilherme M. Castilhos and
                  Gilles Sassatelli and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A Distributed Energy-aware Task Mapping to Achieve Thermal Balancing
                  and Improve Reliability of Many-core Systems},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {13:1--13:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800992},
  doi          = {10.1145/2800986.2800992},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MandelliCSOM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraBMBAD15,
  author       = {Helder F. de A. Oliveira and
                  Alisson Vasconcelos de Brito and
                  Elmar U. K. Melcher and
                  Harald Bucher and
                  Joseana M. F. R. Ara{\'{u}}jo and
                  Liana Dessandre Duenha},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Power-Aware Design of Electronic System Level using Interoperation
                  of Hybrid and Distributed Simulations},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {18:1--18:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801023},
  doi          = {10.1145/2800986.2801023},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraBMBAD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraCM15,
  author       = {Ewerton Gomes de Oliveira and
                  Carlos Augusto de Moraes Cruz and
                  Davies William de Lima Monteiro},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Effective Cross Comparison of Mismatch Effects on Different Logarithmic
                  Pixel Sensor Topologies},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {38:1--38:2},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801007},
  doi          = {10.1145/2800986.2801007},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraCM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PashminehK15,
  author       = {Sara Pashmineh and
                  Dirk Killat},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Design of High-Voltage Level Shifters Based on Stacked Standard Transistors
                  for a Wide Range of Supply Voltages},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {7:1--7:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801003},
  doi          = {10.1145/2800986.2801003},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PashminehK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PennyPPAZ15,
  author       = {Wagner Penny and
                  Guilherme Paim and
                  Marcelo Schiavon Porto and
                  Luciano Volcan Agostini and
                  Bruno Zatt},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Real-Time Architecture for {HEVC} Motion Compensation Sample Interpolator
                  for {UHD} Videos},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {12:1--12:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801024},
  doi          = {10.1145/2800986.2801024},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PennyPPAZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PonchetBPSF15,
  author       = {Andr{\'{e}} F. Ponchet and
                  Ezio M. Bastida and
                  Roberto R. Panepucci and
                  Jacobus W. Swart and
                  Celio Finardi},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Design and Optimization of High Sensitivity Transimpedance Amplifiers
                  in 130 nm {CMOS} and BiCMOS Technologies for High Speed Optical Receivers},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {33:1--33:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801001},
  doi          = {10.1145/2800986.2801001},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PonchetBPSF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PugetFJR15,
  author       = {Julia Casarin Puget and
                  Guilherme Flach and
                  Marcelo O. Johann and
                  Ricardo Augusto da Luz Reis},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Jezz: An Effective Legalization Algorithm for Minimum Displacement},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {19:1--19:5},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801013},
  doi          = {10.1145/2800986.2801013},
  timestamp    = {Fri, 11 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PugetFJR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReinbrechtSS15,
  author       = {Cezar R. W. Reinbrecht and
                  Martha Johanna Sep{\'{u}}lveda and
                  Altamiro Amadeu Susin},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {PHiCIT: Improving Hierarchical Networks-on-chip through 3D Silicon
                  Photonics Integration},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {28:1--28:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801022},
  doi          = {10.1145/2800986.2801022},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReinbrechtSS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReisT15,
  author       = {Dayane Alfenas Reis and
                  Frank Sill Torres},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A novel methodology for robustness analysis of {QCA} circuits},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {15:1--15:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800995},
  doi          = {10.1145/2800986.2800995},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReisT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReyesS15,
  author       = {Linder Reyes and
                  Fernando Silveira},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Analysis and Design of a {MOS} {RF} Envelope Detector in All Inversion
                  Regions},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {24:1--24:5},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800997},
  doi          = {10.1145/2800986.2800997},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReyesS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaSSB15,
  author       = {Andre Luis Rodeghiero Rosa and
                  Leonardo Bandeira Soares and
                  Kleber Hugo Stangherlin and
                  Sergio Bampi},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Designing {CMOS} for Near-Threshold Minimum-Energy Operation and Extremely
                  Wide {V-F} Scaling},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {1:1--1:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801004},
  doi          = {10.1145/2800986.2801004},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaSSB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaFG15,
  author       = {Johanna Sep{\'{u}}lveda and
                  Daniel Fl{\'{o}}rez and
                  Guy Gogniat},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Reconfigurable Group-Wise Security Architecture for NoC-Based MPSoCs
                  Protection},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {26:1--26:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801025},
  doi          = {10.1145/2800986.2801025},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaFG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Silva-FilhoNL15,
  author       = {Abel G. Silva{-}Filho and
                  L. J. C. Nunes and
                  Henrique F. Lacerda},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Differential Evolution to Reduce Energy Consumption in Three-Level
                  Memory Hierarchy},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {39:1--39:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801005},
  doi          = {10.1145/2800986.2801005},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Silva-FilhoNL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCBD15,
  author       = {Bruno A. Silva and
                  Lucas Albers Cuminato and
                  Vanderlei Bonato and
                  Pedro C. Diniz},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Run-time Cache Configuration for the {LEON-3} Embedded Processor},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {42:1--42:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801026},
  doi          = {10.1145/2800986.2801026},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCBD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Silveira0PMMBF15,
  author       = {Jarbas Silveira and
                  Paulo Cortez and
                  Alan Cadore Pinheiro and
                  Rafael Mota and
                  C{\'{e}}sar A. M. Marcon and
                  Lucas Brahm and
                  Ramon Fernandes},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Smart Reconfiguration Approach for Fault-Tolerant NoC Based MPSoCs},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {27:1--27:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801027},
  doi          = {10.1145/2800986.2801027},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Silveira0PMMBF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresBCF15,
  author       = {Antonio Wallace Antunes Soares and
                  Diomadson R. Belfort and
                  Sebastian Yuri Cavalcanti Catunda and
                  Raimundo Carlos Silv{\'{e}}rio Freire},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Analysis and System-Level Design of a High Resolution Incremental
                  {\(\Sigma\)}{\(\Delta\)} {ADC} for Biomedical Applications},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {36:1--36:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800998},
  doi          = {10.1145/2800986.2800998},
  timestamp    = {Wed, 06 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresBCF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresSF15,
  author       = {Thiago R. B. S. Soares and
                  Ivan Saraiva Silva and
                  S{\'{\i}}lvio R. F. de Fernandes},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {IPNoSys {II:} {A} New Architecture for IPNoSys Programming Model},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {40:1--40:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801012},
  doi          = {10.1145/2800986.2801012},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresSF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TeixeiraRP15,
  author       = {Lucas Teixeira and
                  Cesar Ramos Rodrigues and
                  C{\'{e}}sar Augusto Prior},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Direct Feedback Topology for Reducing Residual Voltage in Functional
                  Electrical Stimulation},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {35:1--35:4},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801006},
  doi          = {10.1145/2800986.2801006},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TeixeiraRP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ToledoKCBF15,
  author       = {Pedro Toledo and
                  Hamilton Klimach and
                  David Cordova and
                  Sergio Bampi and
                  Eric E. Fabris},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {{CMOS} Transconductor Analysis for Low Temperature Sensitivity Based
                  on {ZTC} {MOSFET} Condition},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {32:1--32:7},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801000},
  doi          = {10.1145/2800986.2801000},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ToledoKCBF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VieraCAPM15,
  author       = {Raphael Andreoni Camponogara Viera and
                  Jorge V. de la Cruz and
                  Andr{\'{e}} Luiz Aita and
                  C{\'{e}}sar Augusto Prior and
                  Jo{\~{a}}o Baptista dos Santos Martins},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {System-level Design of Single-bit Sigma-Delta Modulators Based on
                  {MSA} and {SNR} Data Graphics},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2801028},
  doi          = {10.1145/2800986.2801028},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VieraCAPM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YamauchiS15,
  author       = {Hiroyuki Yamauchi and
                  Worawit Somha},
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {A Phase Shifting Multiple Filter Design Methodology for Lucy-Richardson
                  Deconvolution of Log-Mixtures Complex {RTN} Tail Distribution},
  booktitle    = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  pages        = {20:1--20:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986.2800996},
  doi          = {10.1145/2800986.2800996},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/YamauchiS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2015,
  editor       = {Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Calvin Plett and
                  Wagner Luiz Alves de Oliveira},
  title        = {Proceedings of the 28th Symposium on Integrated Circuits and Systems
                  Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2800986},
  doi          = {10.1145/2800986},
  isbn         = {978-1-4503-3763-2},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AliotoE14,
  author       = {Massimo Alioto and
                  David Esseni},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Performance and Impact of Process Variations in Tunnel-FET Ultra-Low
                  Voltage Digital Circuits},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {32:1--32:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661000},
  doi          = {10.1145/2660540.2661000},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AliotoE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmeidaLAA14,
  author       = {Ariane Alves Almeida and
                  Carlos H. Llanos and
                  Janier Arias{-}Garcia and
                  Mauricio Ayala{-}Rinc{\'{o}}n},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Verification of Hardware Implementations through Correctness of their
                  Recursive Definitions in {PVS}},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {14:1--14:8},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660982},
  doi          = {10.1145/2660540.2660982},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmeidaLAA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmaralSPAPZ14,
  author       = {L{\'{\i}}via Amaral and
                  Dieison Silveira and
                  Guilherme Povala and
                  Luciano Volcan Agostini and
                  Marcelo Schiavon Porto and
                  Bruno Zatt},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Memory Energy Consumption Analysis of Motion Estimation Algorithms
                  using Data Reuse in Video Coding Systems},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {31:1--31:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660999},
  doi          = {10.1145/2660540.2660999},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmaralSPAPZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AzizCBP14,
  author       = {Andre Aziz and
                  Maria Cireno and
                  Edna Barros and
                  Bruno O. Prado},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Balanced Prefetching Aggressiveness Controller for NoC-based Multiprocessor},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {1:1--1:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660541},
  doi          = {10.1145/2660540.2660541},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AzizCBP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BonattoNPSS14,
  author       = {Alexsandro Cristov{\~{a}}o Bonatto and
                  Marcelo Negreiros and
                  F{\'{a}}bio I. Pereira and
                  Andr{\'{e}} Borin Soares and
                  Altamiro Amadeu Susin},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Adaptive Shared Memory Control for Multimedia Systems-on-Chip},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {46:1--46:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661014},
  doi          = {10.1145/2660540.2661014},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BonattoNPSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CancellierBSG14,
  author       = {Luiz Henrique Cancellier and
                  Andr{\'{e}} Beims Br{\"{a}}scher and
                  Ismael Seidel and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Energy-Efficient Hadamard-Based {SATD} Architectures},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {36:1--36:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661004},
  doi          = {10.1145/2660540.2661004},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CancellierBSG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CordovaBF14,
  author       = {David Cordova and
                  Sergio Bampi and
                  Eric E. Fabris},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A {CMOS} Down-Conversion Mixer with High {IIP2} and {IIP3} for Multi-Band
                  and Multiple Standards},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {27:1--27:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660995},
  doi          = {10.1145/2660540.2660995},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CordovaBF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CordovaTF14,
  author       = {David Cordova and
                  Pedro Toledo and
                  Eric E. Fabris},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Low-Voltage Current Reference with High Immunity to {EMI}},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {21:1--21:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660989},
  doi          = {10.1145/2660540.2660989},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CordovaTF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CruzFM14,
  author       = {Carlos Augusto de Moraes Cruz and
                  Carlos A. dos Reis Filho and
                  Davies William de Lima Monteiro},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Improved Charge Pump Circuits for Standard {CMOS} Technologies},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {8:1--8:5},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660976},
  doi          = {10.1145/2660540.2660976},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CruzFM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CurtinhasOFS14,
  author       = {Tiago S. Curtinhas and
                  Duarte Lopes de Oliveira and
                  Lester de Abreu Faria and
                  Osamu Saotome},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A novel State Assignment method for Extended Burst-Mode {FSM} design
                  using Genetic Algorithm},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {39:1--39:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661007},
  doi          = {10.1145/2660540.2661007},
  timestamp    = {Thu, 14 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CurtinhasOFS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Diguet14,
  author       = {Jean{-}Philippe Diguet},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Self-Adaptive Network On Chips},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {24:1--24:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660992},
  doi          = {10.1145/2660540.2660992},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Diguet14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DrechslerLS14,
  author       = {Rolf Drechsler and
                  Hoang Minh Le and
                  Mathias Soeken},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Self-Verification as the Key Technology for Next Generation Electronic
                  Systems},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {15:1--15:4},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660983},
  doi          = {10.1145/2660540.2660983},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DrechslerLS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FazzionFNNFS14,
  author       = {Elverton C. Fazzion and
                  Osvaldo L. H. M. Fonseca and
                  Jos{\'{e}} Augusto Miranda Nacif and
                  Omar P. Vilela Neto and
                  Ant{\^{o}}nio Ot{\'{a}}vio Fernandes and
                  Douglas S. Silva},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Quantum-Dot Cellular Automata Processor Design},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {29:1--29:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660997},
  doi          = {10.1145/2660540.2660997},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FazzionFNNFS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FriederichHB14,
  author       = {Stephanie Friederich and
                  Jan Heisswolf and
                  J{\"{u}}rgen Becker},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Hardware/software debugging of large scale many-core architectures},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {45:1--45:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661013},
  doi          = {10.1145/2660540.2661013},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FriederichHB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuerreiroN14,
  author       = {Gabriel R. Guerreiro and
                  Jo{\~{a}}o Navarro},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Design for Stability of Active Inductor with Feedback Resistance},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {3:1--3:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660549},
  doi          = {10.1145/2660540.2660549},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuerreiroN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KargelOB14,
  author       = {Michael K{\"{a}}rgel and
                  Markus Olbrich and
                  Erich Barke},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Simulation Based Verification with Range Based Signal Representations
                  for Mixed-Signal Systems},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {42:1--42:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661010},
  doi          = {10.1145/2660540.2661010},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KargelOB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LanotB14,
  author       = {Alisson J. C. Lanot and
                  Tiago R. Balen},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Reliability Analysis of a 130nm Charge Redistribution {SAR} {ADC}
                  under Single Event Effects},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {17:1--17:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660985},
  doi          = {10.1145/2660540.2660985},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LanotB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lima14,
  author       = {Jader A. De Lima},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Compact and Power-Efficient {CMOS} Battery Charger for Implantable
                  Devices},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {20:1--20:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660988},
  doi          = {10.1145/2660540.2660988},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lima14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LoderSFS14,
  author       = {Luciano Loder and
                  Ad{\~{a}}o Ant{\^{o}}nio de Souza Jr. and
                  Marcelo Schiavon Fay and
                  Rafael Soares},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Towards a Framework to Perform {DPA} Attack on {GALS} Pipeline Architectures},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {33:1--33:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661001},
  doi          = {10.1145/2660540.2661001},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LoderSFS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MachadoP14,
  author       = {Wilson J. Bortoletto Machado and
                  Calvin Plett},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Impact of {ESD} Protection and Power Supply Decoupling on 10 GHz Low
                  Noise Amplifier},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {4:1--4:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660551},
  doi          = {10.1145/2660540.2660551},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MachadoP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MachadoSSG14,
  author       = {Marcio Bender Machado and
                  Mohamad Sawan and
                  M{\'{a}}rcio Cherem Schneider and
                  Carlos Galup{-}Montoro},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {10 mV: 1V Step-up Converter for Energy Harvesting Applications},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {25:1--25:5},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660993},
  doi          = {10.1145/2660540.2660993},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MachadoSSG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MaedaT14,
  author       = {Rafael Kioji Vivas Maeda and
                  Frank Sill Torres},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {{CLEVER:} Cross-Layer Error Verification, Evaluation and Reporting},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {10:1--10:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660978},
  doi          = {10.1145/2660540.2660978},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MaedaT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MatosRRR14,
  author       = {Jody Maick Matos and
                  Marcus Ritt and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Deriving Reduced Transistor Count Circuits from AIGs},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {40:1--40:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661008},
  doi          = {10.1145/2660540.2661008},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MatosRRR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MattiaKB14,
  author       = {Oscar E. Mattia and
                  Hamilton Klimach and
                  Sergio Bampi},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Sub-1 {V} Supply Nano-Watt MOSFET-Only Threshold Voltage Extractor
                  Circuit},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {23:1--23:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660991},
  doi          = {10.1145/2660540.2660991},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MattiaKB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MonteiroKB14,
  author       = {Moacir Fernandes Cortinhas Monteiro and
                  Hamilton Klimach and
                  Sergio Bampi},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {High Linearity and Large Output Swing Sub-Hz Pre-amplifier for Portable
                  Biomedical Applications},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {7:1--7:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660975},
  doi          = {10.1145/2660540.2660975},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MonteiroKB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoratelliZH14,
  author       = {Carlos Moratelli and
                  Samir Zampiva and
                  Fabiano Hessel},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Full-Virtualization on MIPS-based MPSOCs embedded platforms with real-time
                  support},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {44:1--44:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661012},
  doi          = {10.1145/2660540.2661012},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoratelliZH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OlmosBFCL14,
  author       = {Alfredo Olmos and
                  Juan Pablo Martinez Brito and
                  Fabr{\'{\i}}cio Jorge Antunes Ferreira and
                  Fernando Ch{\'{a}}vez and
                  Marcelo Soares Lubaszewski},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A 2-Transistor Sub-1V Low Power Temperature Compensated {CMOS} Voltage
                  Reference},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {26:1--26:5},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660994},
  doi          = {10.1145/2660540.2660994},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OlmosBFCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PonchetBPTS14,
  author       = {Andr{\'{e}} F. Ponchet and
                  Ezio M. Bastida and
                  Roberto R. Panepucci and
                  Stefan Tenenbaum and
                  Jacobus W. Swart},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {SiGe {HBT} mm-Wave {DC} Coupled Ultra-wide-band Low Noise Monolithic
                  Amplifiers},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {28:1--28:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660996},
  doi          = {10.1145/2660540.2660996},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PonchetBPTS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PossaniRRMJ14,
  author       = {Vinicius N. Possani and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas and
                  Felipe S. Marques and
                  Leomar Soares da Rosa Jr.},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Exploring Independent Gates in FinFET-Based Transistor Network Generation},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {41:1--41:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661009},
  doi          = {10.1145/2660540.2661009},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PossaniRRMJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RoaPBCF14,
  author       = {Giuseppe Roa and
                  Tugdual Le Pelleter and
                  Agn{\`{e}}s Bonvilain and
                  Alejandro Chagoya and
                  Laurent Fesquet},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Designing ultra-low power systems with non-uniform sampling and event-driven
                  logic},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {5:1--5:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660973},
  doi          = {10.1145/2660540.2660973},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RoaPBCF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RuaroCM14,
  author       = {Marcelo Ruaro and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Runtime QoS Support for MPSoC: a Processor Centric Approach},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {43:1--43:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661011},
  doi          = {10.1145/2660540.2661011},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RuaroCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezZPA14,
  author       = {Gustavo Sanchez and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Luciano Volcan Agostini},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Real-Time 5-Views {HD} 1080p Architecture for 3D-HEVC Depth Modeling
                  Mode 4},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661002},
  doi          = {10.1145/2660540.2661002},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezZPA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosS14,
  author       = {Crystal de Menezes Santos and
                  Abel G. Silva{-}Filho},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Bee Colony Algorithm Applied to Memory Architecture Exploration intended
                  for Energy Reduction},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {2:1--2:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660542},
  doi          = {10.1145/2660540.2660542},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaSNM14,
  author       = {Felipe P. da Silva and
                  Alan Oliveira de S{\'{a}} and
                  Nadia Nedjah and
                  Luiza de Macedo Mourelle},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {An Efficient Parallel Yet Pipelined Reconfigurable Architecture for
                  {M-PLN} Weightless Neural Networks},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {30:1--30:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660998},
  doi          = {10.1145/2660540.2660998},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaSNM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SouzaS14,
  author       = {Viviane Lucy Santos de Souza and
                  Abel G. Silva{-}Filho},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {MogaMap and DynPack: Multi-Objective Mapping and Packing Algorithms
                  for Optimization of Area, Performance and Power Consumption in FPGAs},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {12:1--12:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660980},
  doi          = {10.1145/2660540.2660980},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SouzaS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Spiegel14,
  author       = {Solon J. Spiegel},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {An Overview of Radio Frequency Technologies and Their Influence on
                  the Power Efficiency of Wireless Communication Systems},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {19:1--19:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660987},
  doi          = {10.1145/2660540.2660987},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Spiegel14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SpinnerF14,
  author       = {Jens Spinner and
                  J{\"{u}}rgen Freudenberger},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Design and Implementation of a Pipelined Decoder for Generalized Concatenated
                  Codes Format},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {35:1--35:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2661003},
  doi          = {10.1145/2660540.2661003},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SpinnerF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/StoppeWD14,
  author       = {Jannis Stoppe and
                  Robert Wille and
                  Rolf Drechsler},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Validating SystemC Implementations Against Their Formal Specifications},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {13:1--13:8},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660981},
  doi          = {10.1145/2660540.2660981},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/StoppeWD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ToledoKCBF14,
  author       = {Pedro Toledo and
                  Hamilton Klimach and
                  David Cordova and
                  Sergio Bampi and
                  Eric E. Fabris},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Self-biased {CMOS} Current Reference based on the {ZTC} Operation
                  Condition},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {22:1--22:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660990},
  doi          = {10.1145/2660540.2660990},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ToledoKCBF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TrevisanAZRC14,
  author       = {Matheus Trevisan and
                  Michel Evandro Arendt and
                  Adriel Ziesemer and
                  Ricardo Augusto da Luz Reis and
                  Ney Laert Vilar Calazans},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Automated Synthesis of Cell Libraries for Asynchronous Circuits},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {16:1--16:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660984},
  doi          = {10.1145/2660540.2660984},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TrevisanAZRC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VieraPCM14,
  author       = {Raphael Andreoni Camponogara Viera and
                  C{\'{e}}sar Augusto Prior and
                  Jorge V. de la Cruz and
                  Jo{\~{a}}o Baptista dos Santos Martins},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {System-Level Design of a Reconfigurable {CT} {SD} Modulator for Multi-Standard
                  Wireless Applications},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {9:1--9:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660977},
  doi          = {10.1145/2660540.2660977},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VieraPCM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WachterEJAM14,
  author       = {Eduardo W{\"{a}}chter and
                  Augusto Erichsen and
                  Leonardo Juracy and
                  Alexandre M. Amory and
                  Fernando Gehm Moraes},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {A Fast Runtime Fault Recovery Approach for NoC-Based MPSoCS for Performance
                  Constrained Applications},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {18:1--18:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660986},
  doi          = {10.1145/2660540.2660986},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WachterEJAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YangWD14,
  author       = {Shuo Yang and
                  Robert Wille and
                  Rolf Drechsler},
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Determining Cases of Scenarios to Improve Coverage in Simulation-based
                  Verification},
  booktitle    = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  pages        = {11:1--11:7},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2660540.2660979},
  doi          = {10.1145/2660540.2660979},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/YangWD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2014,
  editor       = {Edward David Moreno Ordonez and
                  Rodolfo Jardim de Azevedo and
                  Peter R. Kinget},
  title        = {Proceedings of the 27th Symposium on Integrated Circuits and Systems
                  Design, Aracaju, Brazil, September 1-5, 2014},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {http://dl.acm.org/citation.cfm?id=2660540},
  isbn         = {978-1-4503-3156-2},
  timestamp    = {Sun, 02 Nov 2014 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AitaR13,
  author       = {Andr{\'{e}} Luiz Aita and
                  Cesar Ramos Rodrigues},
  title        = {{PTAT} {CMOS} current sources mismatch over temperature},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644885},
  doi          = {10.1109/SBCCI.2013.6644885},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AitaR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlegrettiBRR13,
  author       = {Caio G. P. Alegretti and
                  Vin{\'{\i}}cius Dal Bem and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Analytical logical effort formulation for minimum active area under
                  delay constraints},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644872},
  doi          = {10.1109/SBCCI.2013.6644872},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlegrettiBRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BritoR13,
  author       = {Juan Pablo Martinez Brito and
                  Alain Rabaeijs},
  title        = {{CMOS} smart temperature sensors for {RFID} applications},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644858},
  doi          = {10.1109/SBCCI.2013.6644858},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BritoR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BritoSSLF13,
  author       = {Karolinne Brito and
                  Fernando Rangel de Sousa and
                  Victor Ariel Leal Sobral and
                  Robson Nunes de Lima and
                  Raimundo Carlos Silv{\'{e}}rio Freire},
  title        = {A 400 MHz reconfigurable injection-locking based {RC} oscillator for
                  {ASK/FSK} modulation},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644876},
  doi          = {10.1109/SBCCI.2013.6644876},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BritoSSLF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CallegaroMRR13,
  author       = {Vinicius Callegaro and
                  Mayler G. A. Martins and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Read-polarity-once Boolean functions},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644862},
  doi          = {10.1109/SBCCI.2013.6644862},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CallegaroMRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CamposUSDMK13,
  author       = {Fernando de Souza Campos and
                  Jos{\'{e}} Alfredo Covolan Ulson and
                  Jacobus W. Swart and
                  M. Jamal Deen and
                  Ognian Marinov and
                  Dib Karam},
  title        = {Temporal noise analysis and measurements of {CMOS} active pixel sensor
                  operating in time domain},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644859},
  doi          = {10.1109/SBCCI.2013.6644859},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CamposUSDMK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ConceicaoSJPMA13,
  author       = {Ruhan A. Concei{\c{c}}{\~{a}}o and
                  J. Claudio de Souza and
                  Ricardo Jeske and
                  Marcelo Schiavon Porto and
                  J{\'{u}}lio C. B. de Mattos and
                  Luciano Volcan Agostini},
  title        = {Hardware design for the 32{\texttimes}32 {IDCT} of the {HEVC} video
                  coding standard},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644881},
  doi          = {10.1109/SBCCI.2013.6644881},
  timestamp    = {Fri, 10 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ConceicaoSJPMA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CortesFPBC13,
  author       = {Fernando Paix{\~{a}}o Cortes and
                  Guilherme Freitas and
                  Henrique Luiz Andrade Pimentel and
                  Juan Pablo Martinez Brito and
                  Fernando Ch{\'{a}}vez},
  title        = {Low-Power/Low-Voltage analog front-end for {LF} passive {RFID} tag
                  systems},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644868},
  doi          = {10.1109/SBCCI.2013.6644868},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CortesFPBC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaAM13,
  author       = {Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Monica Matzenauer},
  title        = {Gray encoded fixed-point {LMS} adaptive filter architecture for the
                  harmonics power line interference cancelling},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644877},
  doi          = {10.1109/SBCCI.2013.6644877},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaAM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DiasMP13,
  author       = {Wanderson Roger Azevedo Dias and
                  Edward David Moreno and
                  Isaac Nattan Palmeira},
  title        = {A new code compression algorithm and its decompressor in FPGA-based
                  hardware},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644870},
  doi          = {10.1109/SBCCI.2013.6644870},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DiasMP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/EscobarR13,
  author       = {Kim A. Escobar and
                  Renato P. Ribas},
  title        = {Parallel prefix adder design using quantum-dot cellular automata},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644887},
  doi          = {10.1109/SBCCI.2013.6644887},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/EscobarR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ForzleyM13,
  author       = {Tony Forzley and
                  Ralph Mason},
  title        = {A 14b threshold configurable dynamically latched comparator for {SAR}
                  ADCs},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644886},
  doi          = {10.1109/SBCCI.2013.6644886},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ForzleyM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Garcia-GervacioMMMD13,
  author       = {Jose Luis Garcia{-}Gervacio and
                  Agust{\'{\i}}n Leobardo Herrera{-}May and
                  Gregorio Zamora{-}Mej{\'{\i}}a and
                  Jaime Mart{\'{\i}}nez{-}Castillo and
                  Alejandro D{\'{\i}}az{-}S{\'{a}}nchez},
  title        = {Voltage Regulation System for {UHF} {RFID} Tags},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644852},
  doi          = {10.1109/SBCCI.2013.6644852},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Garcia-GervacioMMMD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GhidiniMBWCM13,
  author       = {Yan Ghidini and
                  Matheus T. Moreira and
                  Lucas Brahm and
                  Thais Webber and
                  Ney Calazans and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Lasio 3D NoC vertical links serialization: Evaluation of latency and
                  buffer occupancy},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644891},
  doi          = {10.1109/SBCCI.2013.6644891},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GhidiniMBWCM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GomesK13,
  author       = {Iuri A. C. Gomes and
                  Fernanda Gusm{\~{a}}o de Lima Kastensmidt},
  title        = {Reducing {TMR} overhead by combining approximate circuit, transistor
                  topology and input permutation approaches},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644856},
  doi          = {10.1109/SBCCI.2013.6644856},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GomesK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HeisswolfBRB13,
  author       = {Jan Heisswolf and
                  Simon Bischof and
                  Michael R{\"{u}}ckauer and
                  J{\"{u}}rgen Becker},
  title        = {Efficient memory access in 2D Mesh NoC architectures using high bandwidth
                  routers},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644857},
  doi          = {10.1109/SBCCI.2013.6644857},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HeisswolfBRB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/IshibeS13,
  author       = {Eder Issao Ishibe and
                  Joao Navarro Soares},
  title        = {A {CMOS} bandgap reference circuit with a temperature coefficient
                  adjustment block},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644869},
  doi          = {10.1109/SBCCI.2013.6644869},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/IshibeS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JungMXJ13,
  author       = {SungHa Jung and
                  Myoung{-}Seob Lim and
                  Yihu Xu and
                  Dae Hyun Jo},
  title        = {Implementation of split-radix {FFT} pruning for the reduction of computational
                  complexity in {OFDM} based cognitive radio system},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644888},
  doi          = {10.1109/SBCCI.2013.6644888},
  timestamp    = {Wed, 18 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JungMXJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KlimachCMB13,
  author       = {Hamilton Klimach and
                  Arthur Liraneto Torres Costa and
                  Moacir Fernandes Cortinhas Monteiro and
                  Sergio Bampi},
  title        = {A resistorless switched bandgap voltage reference with offset cancellation},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644882},
  doi          = {10.1109/SBCCI.2013.6644882},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KlimachCMB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarcianoONN13,
  author       = {Abner Luis Panho Marciano and
                  Andre B. Oliveira and
                  Jos{\'{e}} Augusto Miranda Nacif and
                  Omar P. Vilela Neto},
  title        = {An efficient {FPGA} implementation in quantum-dot cellular automata},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644867},
  doi          = {10.1109/SBCCI.2013.6644867},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarcianoONN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarranghelloRR13,
  author       = {Felipe S. Marranghello and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {Delay model for static {CMOS} complex gates},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644864},
  doi          = {10.1109/SBCCI.2013.6644864},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarranghelloRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartiniF13,
  author       = {Guilherme H. K. Martini and
                  Jo{\~{a}}o Alberto Fabro},
  title        = {Hybrid filter for high-power converter systems},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644890},
  doi          = {10.1109/SBCCI.2013.6644890},
  timestamp    = {Mon, 05 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartiniF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsMFSRR13,
  author       = {Mayler G. A. Martins and
                  Felipe S. Marranghello and
                  Joseph S. Friedman and
                  Alan V. Sahakian and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Spin diode network synthesis using functional composition},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644873},
  doi          = {10.1109/SBCCI.2013.6644873},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsMFSRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsS13,
  author       = {Gustavo Campos Martins and
                  Fernando Rangel de Sousa},
  title        = {An RF-powered temperature sensor designed for biomedical applications},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644861},
  doi          = {10.1109/SBCCI.2013.6644861},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MeyerDKSFLB13,
  author       = {Joachim Meyer and
                  Michael Dreschmann and
                  Djorn Karnick and
                  Philipp C. Schindler and
                  Wolfgang Freude and
                  Juerg Leuthold and
                  J{\"{u}}rgen Becker},
  title        = {A novel system on chip for software-defined, high-speed {OFDM} signal
                  processing},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644883},
  doi          = {10.1109/SBCCI.2013.6644883},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MeyerDKSFLB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NeutzlingMRR13,
  author       = {Augusto Neutzling and
                  Mayler G. A. Martins and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Synthesis of threshold logic gates to nanoelectronics},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644871},
  doi          = {10.1109/SBCCI.2013.6644871},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NeutzlingMRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunesBRR13,
  author       = {Cicero Nunes and
                  Paulo F. Butzen and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {A methodology to evaluate the aging impact on flip-flops performance},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644860},
  doi          = {10.1109/SBCCI.2013.6644860},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunesBRR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunesR13,
  author       = {Leandro Nunes and
                  Ricardo Reis},
  title        = {Global routing congestion reduction with cost allocation look-ahead},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644889},
  doi          = {10.1109/SBCCI.2013.6644889},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunesR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PieperCM13,
  author       = {Leandro Zafalon Pieper and
                  Eduardo A. C. da Costa and
                  Jos{\'{e}} C. Monteiro},
  title        = {Combination of radix-2\({}^{\mbox{m}}\) multiplier blocks and adder
                  compressors for the design of efficient 2's complement 64-bit array
                  multipliers},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644866},
  doi          = {10.1109/SBCCI.2013.6644866},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PieperCM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PontesCV13,
  author       = {Julian J. H. Pontes and
                  Ney Calazans and
                  Pascal Vivet},
  title        = {{H2A:} {A} hardened asynchronous network on chip},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644865},
  doi          = {10.1109/SBCCI.2013.6644865},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PontesCV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PossaniCRRMR13,
  author       = {Vinicius N. Possani and
                  Vinicius Callegaro and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas and
                  Felipe S. Marques and
                  Leomar S. da Rosa Jr.},
  title        = {Improving the methodology to build non-series-parallel transistor
                  arrangements},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644854},
  doi          = {10.1109/SBCCI.2013.6644854},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PossaniCRRMR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RothBRBSB13,
  author       = {Christoph Roth and
                  Harald Bucher and
                  Simon Reder and
                  Florian Buciuman and
                  Oliver Sander and
                  J{\"{u}}rgen Becker},
  title        = {A SystemC modeling and simulation methodology for fast and accurate
                  parallel MPSoC simulation},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644853},
  doi          = {10.1109/SBCCI.2013.6644853},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RothBRBSB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SeidelMBG13,
  author       = {Ismael Seidel and
                  Bruno George de Moraes and
                  Andr{\'{e}} Beims Br{\"{a}}scher and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel},
  title        = {On the impacts of pel decimation and High-Vt/Low-Vdd on {SAD} calculation},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644880},
  doi          = {10.1109/SBCCI.2013.6644880},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SeidelMBG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaGPCS13,
  author       = {Johanna Sep{\'{u}}lveda and
                  Guy Gogniat and
                  Ricardo Pires and
                  Jiang Chau Wang and
                  Marius Strum},
  title        = {Security-enhanced 3D communication structure for dynamic 3D-MPSoCs
                  protection},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644851},
  doi          = {10.1109/SBCCI.2013.6644851},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaGPCS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaGPCS13a,
  author       = {Johanna Sep{\'{u}}lveda and
                  Guy Gogniat and
                  Ricardo Pires and
                  Jiang Chau Wang and
                  Marius Strum},
  title        = {An evolutive approach for designing thermal and performance-aware
                  heterogeneous 3D-NoCs},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644850},
  doi          = {10.1109/SBCCI.2013.6644850},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaGPCS13a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SeveroG13,
  author       = {Lucas C. Severo and
                  Alessandro Girardi},
  title        = {A methodology for the automatic design of operational amplifiers including
                  yield optimization},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644879},
  doi          = {10.1109/SBCCI.2013.6644879},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SeveroG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaL13,
  author       = {Pedro F. G. da Silva and
                  Eduardo Gon{\c{c}}alves de Lima},
  title        = {Design of crest factor reduction techniques based on clipping and
                  filtering for wireless communications systems},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644874},
  doi          = {10.1109/SBCCI.2013.6644874},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaLF13,
  author       = {Fabricio G. S. Silva and
                  Robson Nunes de Lima and
                  Raimundo C. S. Freire},
  title        = {A 433/915-MHz class {AB} discrete power amplifier based on multiresonant
                  circuits},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644855},
  doi          = {10.1109/SBCCI.2013.6644855},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaLF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoratoFBGCK13,
  author       = {Edson Sorato and
                  Eduardo P. Fronza and
                  Paulo R. F. M. M. Barbosa and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel and
                  Adalbery R. Castro and
                  Aldebaro Klautau},
  title        = {Real-time digital modulation classification based on Support Vector
                  Machines},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644875},
  doi          = {10.1109/SBCCI.2013.6644875},
  timestamp    = {Mon, 05 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoratoFBGCK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/StangherlinB13,
  author       = {Kleber Stangherlin and
                  Sergio Bampi},
  title        = {Energy-speed exploration for very-wide range of dynamic {V-F} scaling},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644884},
  doi          = {10.1109/SBCCI.2013.6644884},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/StangherlinB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberCN13,
  author       = {Tiago Oliveira Weber and
                  Sergio Chaparro and
                  Wilhelmus A. M. Van Noije},
  title        = {Synthesis of a narrow-band Low Noise Amplifier in a 180 nm {CMOS}
                  technology using Simulated Annealing with crossover operator},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644878},
  doi          = {10.1109/SBCCI.2013.6644878},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberCN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WiltgenERR13,
  author       = {Alberto Wiltgen and
                  Kim A. Escobar and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {Power consumption analysis in static {CMOS} gates},
  booktitle    = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SBCCI.2013.6644863},
  doi          = {10.1109/SBCCI.2013.6644863},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WiltgenERR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2013,
  title        = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2013, Curitiba, Brazil, September 2-6, 2013},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6634599/proceeding},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2013.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AbbasYORS12,
  author       = {Zia Abbas and
                  Marat Yakupov and
                  Mauro Olivieri and
                  Andreas Ripp and
                  Gunter Strube},
  title        = {Yield optimization for low power current controlled current conveyor},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344426},
  doi          = {10.1109/SBCCI.2012.6344426},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbbasYORS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlhussienBVGS12,
  author       = {Abdulaziz Alhussien and
                  Nader Bagherzadeh and
                  Freek Verbeek and
                  Bernard van Gastel and
                  Julien Schmaltz},
  title        = {A formally verified deadlock-free routing function in a fault-tolerant
                  NoC architecture},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344433},
  doi          = {10.1109/SBCCI.2012.6344433},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlhussienBVGS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BatistaAS12,
  author       = {Ygo N. Batista and
                  Cristiano C. de Ara{\'{u}}jo and
                  Abel G. Silva{-}Filho},
  title        = {{FPGA} design for real time flaw detection on edges using the LEDges
                  technique},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344420},
  doi          = {10.1109/SBCCI.2012.6344420},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BatistaAS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BhattiMPWBC12,
  author       = {Zubair Wadood Bhatti and
                  Narasinga Rao Miniskar and
                  Davy Preuveneers and
                  Roel Wuyts and
                  Yolande Berbers and
                  Francky Catthoor},
  title        = {Memory and communication driven spatio-temporal scheduling on MPSoCs},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344423},
  doi          = {10.1109/SBCCI.2012.6344423},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BhattiMPWBC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BispoCM12,
  author       = {Jo{\~{a}}o Bispo and
                  Jo{\~{a}}o M. P. Cardoso and
                  Jos{\'{e}} Monteiro},
  title        = {Hardware pipelining of runtime-detected loops},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344443},
  doi          = {10.1109/SBCCI.2012.6344443},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BispoCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Camacho-GaleanoOB12,
  author       = {Edgar Mauricio Camacho{-}Galeano and
                  Alfredo Olmos and
                  Andre Vilas Boas},
  title        = {A very low power area efficient {CMOS} only bandgap reference},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344437},
  doi          = {10.1109/SBCCI.2012.6344437},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Camacho-GaleanoOB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CardosoBPA12,
  author       = {Thiago N. C. Cardoso and
                  Edna Barros and
                  Bruno O. Prado and
                  Andre Aziz},
  title        = {Communication software synthesis from {UML-ESL} models},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344449},
  doi          = {10.1109/SBCCI.2012.6344449},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CardosoBPA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaLN12,
  author       = {Yuri Gonzaga Gon{\c{c}}alves da Costa and
                  Jos{\'{e}} Ant{\^{o}}nio Gomes de Lima and
                  Guilherme Navarro},
  title        = {A low complexity lossless data compressor IP-core for satellite images},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344431},
  doi          = {10.1109/SBCCI.2012.6344431},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaLN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CruzMM12,
  author       = {Carlos Augusto de Moraes Cruz and
                  Israel L. Marinho and
                  Davies William de Lima Monteiro},
  title        = {Extended use of pseudo-flash reset technique for an active pixel with
                  logarithmic compressed response},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344425},
  doi          = {10.1109/SBCCI.2012.6344425},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CruzMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CubasS12,
  author       = {Heiner Alarcon Cubas and
                  Joao Navarro Soares Jr.},
  title        = {Top-down design for Low power Multi-bit Sigma-Delta Modulator},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344448},
  doi          = {10.1109/SBCCI.2012.6344448},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CubasS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DallasenWB12,
  author       = {Ricardo Vanni Dallasen and
                  Gilson In{\'{a}}cio Wirth and
                  Thiago Hanna Both},
  title        = {A {PLL} for clock generation with automatic frequency control under
                  {TID} effects},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344441},
  doi          = {10.1109/SBCCI.2012.6344441},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DallasenWB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DreanDKMB12,
  author       = {Sophie Drean and
                  Nathalie Deltimple and
                  Eric Kerherve and
                  Baudouin Martineau and
                  Didier Belot},
  title        = {A 65nm {CMOS} 60 GHz class {F-E} power amplifier for {WPAN} applications},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344451},
  doi          = {10.1109/SBCCI.2012.6344451},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DreanDKMB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GhidiniWMQFM12,
  author       = {Yan Ghidini and
                  Thais Webber and
                  Edson I. Moreno and
                  Ivan Quadros and
                  Rubem Dutra Ribeiro Fagundes and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Topological impact on latency and throughput: 2D versus 3D NoC comparison},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344439},
  doi          = {10.1109/SBCCI.2012.6344439},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GhidiniWMQFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HernandezSN12,
  author       = {Hugo Daniel Hern{\'{a}}ndez and
                  Jonathan Scott and
                  Wilhelmus A. M. Van Noije},
  title        = {{DPA} insensitive voltage regulator for contact smart cards},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344432},
  doi          = {10.1109/SBCCI.2012.6344432},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HernandezSN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HuangCZY12,
  author       = {Bo Huang and
                  Song Chen and
                  Wei Zhong and
                  Takeshi Yoshimura},
  title        = {Application-Specific Network-on-Chip synthesis with topology-aware
                  floorplanning},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344421},
  doi          = {10.1109/SBCCI.2012.6344421},
  timestamp    = {Thu, 30 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HuangCZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MalikH12,
  author       = {Omer Malik and
                  Ahmed Hemani},
  title        = {A pragma based approach for mapping {MATLAB} applications on a coarse
                  grained reconfigurable architecture},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344445},
  doi          = {10.1109/SBCCI.2012.6344445},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MalikH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarranghelloRR12,
  author       = {Felipe S. Marranghello and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {Design-oriented delay model for {CMOS} inverter},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344424},
  doi          = {10.1109/SBCCI.2012.6344424},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarranghelloRR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsAF12,
  author       = {Everson Martins and
                  Matheus A. Alejandro and
                  Thais V. Fogaca},
  title        = {Differential mixer with {NMOS/PMOS} stack at switching stage},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344440},
  doi          = {10.1109/SBCCI.2012.6344440},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsAF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MeloS12,
  author       = {Cecil Accetti R. de A. Melo and
                  Ricardo E. de Souza},
  title        = {FPGA-based digital direct-conversion transceiver for Nuclear Magnetic
                  Resonance Systems},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344427},
  doi          = {10.1109/SBCCI.2012.6344427},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MeloS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraGC12,
  author       = {Matheus T. Moreira and
                  Ricardo A. Guazzelli and
                  Ney Laert Vilar Calazans},
  title        = {Return-to-one protocol for reducing static power in C-elements of
                  {QDI} circuits employing m-of-n codes},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344444},
  doi          = {10.1109/SBCCI.2012.6344444},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraGC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoriLB12,
  author       = {Jones Yudi Mori and
                  Carlos H. Llanos and
                  Pedro A. Berger},
  title        = {Kernel analysis for architecture design trade off in convolution-based
                  image filtering},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344453},
  doi          = {10.1109/SBCCI.2012.6344453},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoriLB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoNSD12,
  author       = {Paulo S{\'{e}}rgio B. do Nascimento and
                  Francisco A. S. Neves and
                  Helber E. P. de Souza and
                  Marco A. O. Domingues},
  title        = {{FPGA} design methodology for {DSP} industrial applications - {A}
                  case study of a three-phase positive-sequence detector},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344430},
  doi          = {10.1109/SBCCI.2012.6344430},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoNSD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NiakiBAVS12,
  author       = {Seyed{-}Hosein Attarzadeh{-}Niaki and
                  Gilmar S. Beserra and
                  Nikolaj Andersen and
                  Mathias Verdon and
                  Ingo Sander},
  title        = {Heterogeneous system-level modeling for small and medium enterprises},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344450},
  doi          = {10.1109/SBCCI.2012.6344450},
  timestamp    = {Fri, 03 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NiakiBAVS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraHGP12,
  author       = {Fernanda D. V. R. Oliveira and
                  Hugo de Lemos Haas and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Antonio Petraglia},
  title        = {Current-mode analog integrated circuit for focal-plane image compression},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344438},
  doi          = {10.1109/SBCCI.2012.6344438},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraHGP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PimentelB12,
  author       = {Henrique Luiz Andrade Pimentel and
                  Sergio Bampi},
  title        = {A 50MHz-lGHz wideband low noise amplifier in 130nm {CMOS} technology},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344428},
  doi          = {10.1109/SBCCI.2012.6344428},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PimentelB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PossaniMRCRR12,
  author       = {Vinicius N. Possani and
                  Felipe S. Marques and
                  Leomar S. da Rosa Jr. and
                  Vinicius Callegaro and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {{NSP} kernel finder - {A} methodology to find and to build non-series-parallel
                  transistor arrangements},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344452},
  doi          = {10.1109/SBCCI.2012.6344452},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PossaniMRCRR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RediessACDP12,
  author       = {Fabiane Rediess and
                  Luciano Volcan Agostini and
                  Cassio Cristani and
                  Pargles Dall'Oglio and
                  Marcelo Schiavon Porto},
  title        = {High throughput hardware design for the Adaptive Loop Filter of the
                  emerging {HEVC} video coding},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344446},
  doi          = {10.1109/SBCCI.2012.6344446},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RediessACDP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaLCM12,
  author       = {Thiago R. da Rosa and
                  Vivian Larrea and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  title        = {Power consumption reduction in MPSoCs through {DFS}},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344429},
  doi          = {10.1109/SBCCI.2012.6344429},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaLCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SamantaRD12,
  author       = {Tuhina Samanta and
                  Hafizur Rahaman and
                  Parthasarathi Dasgupta},
  title        = {Partitioning-based wirelength estimation technique for Y-routing},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344436},
  doi          = {10.1109/SBCCI.2012.6344436},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SamantaRD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SampaioBJ12,
  author       = {Renato Coral Sampaio and
                  Pedro de Azevedo Berger and
                  Ricardo Pezzuol Jacobi},
  title        = {Hardware and software co-design for the {AAC} audio decoder},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344447},
  doi          = {10.1109/SBCCI.2012.6344447},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SampaioBJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosRGBD12,
  author       = {Cristiano Santos and
                  Ricardo Reis and
                  Guilherme Godoi and
                  Marcos Barros and
                  Fabio Duarte},
  title        = {Multi-bit flip-flop usage impact on physical synthesis},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344435},
  doi          = {10.1109/SBCCI.2012.6344435},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosRGBD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaGPCS12,
  author       = {Johanna Sep{\'{u}}lveda and
                  Guy Gogniat and
                  Ricardo Pires and
                  Jiang Chau Wang and
                  Marius Strum},
  title        = {Hybrid-on-chip communication architecture for dynamic MP-SoC protection},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344419},
  doi          = {10.1109/SBCCI.2012.6344419},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaGPCS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SolderaBO12,
  author       = {Jefferson B. D. Soldera and
                  Michael Todd Berens and
                  Alfredo Olmos},
  title        = {A temperature compensated {CMOS} relaxation oscillator for low power
                  applications},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344442},
  doi          = {10.1109/SBCCI.2012.6344442},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SolderaBO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SolderaSPHHPVAT12,
  author       = {Jefferson Daniel de Barros Soldera and
                  Julio Cesar Saldana and
                  Cesar Giacomini Penteado and
                  Hugo Daniel Hern{\'{a}}ndez and
                  Raul Acosta Hernandez and
                  Fernando Chavez Porras and
                  Marcos A. Valerio and
                  Angelica dos Anjos and
                  Paulo H. Trevisan},
  title        = {On-chip 4to20mA reconfigurable current loop transmitter for smart
                  sensor applications},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344434},
  doi          = {10.1109/SBCCI.2012.6344434},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SolderaSPHHPVAT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TorresB12,
  author       = {Frank Sill Torres and
                  Rodrigo Possamai Bastos},
  title        = {Robust modular Bulk Built-in Current Sensors for detection of transient
                  faults},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344422},
  doi          = {10.1109/SBCCI.2012.6344422},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TorresB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2012,
  title        = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6337025/proceeding},
  isbn         = {978-1-4673-2606-3},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmoryLLM11,
  author       = {Alexandre M. Amory and
                  Cristiano Lazzari and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Early estimation of wire length for dedicated test access mechanisms
                  in networks-on-chip based SoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020894},
  doi          = {10.1145/2020876.2020894},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmoryLLM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AzambujaPAKHB11,
  author       = {Jos{\'{e}} Rodrigo Azambuja and
                  Samuel Pagliarini and
                  Maur{\'{\i}}cio Altieri and
                  Fernanda Lima Kastensmidt and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Using dynamic partial reconfiguration to detect sees in microprocessors
                  through non-intrusive hybrid technique},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {161--166},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020914},
  doi          = {10.1145/2020876.2020914},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AzambujaPAKHB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BemBKCRR11,
  author       = {Vin{\'{\i}}cius Dal Bem and
                  Paulo F. Butzen and
                  Carlos Eduardo Klock and
                  Vinicius Callegaro and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Area impact analysis of via-configurable regular fabric for digital
                  integrated circuit design},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {103--108},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020901},
  doi          = {10.1145/2020876.2020901},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BemBKCRR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarvajalN11,
  author       = {Wilmar Carvajal Ossa and
                  Wilhelmus A. M. Van Noije},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Time-interleaved pipeline {ADC} design: a reconfigurable approach
                  supported by optimization},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {17--22},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020881},
  doi          = {10.1145/2020876.2020881},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarvajalN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ChavesCM11,
  author       = {Tales Marchesan Chaves and
                  Everton Alceu Carara and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Energy-efficient cache coherence protocol for NoC-based MPSoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {215--220},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020925},
  doi          = {10.1145/2020876.2020925},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ChavesCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CortesSCP11,
  author       = {Fernando Paix{\~{a}}o Cortes and
                  Rafael Schmidt and
                  Laurent Courcelle and
                  Murilo Pilon Pessatti},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Low-frequency passive {RFID} systems implementation in {CMOS} technology:
                  design considerations and tradeoffs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {1--4},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020878},
  doi          = {10.1145/2020876.2020878},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CortesSCP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Feger11,
  author       = {Claudius Feger},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Packaging issues for 22nm and beyond},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {229},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020930},
  doi          = {10.1145/2020876.2020930},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Feger11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FincoMHSSM11,
  author       = {Saulo Finco and
                  Wilmar Bueno de Moraes and
                  Cristian O. Hamanaka and
                  Claudionor Pereira Santos and
                  Jos{\'{e}} Carlos da Silva and
                  Ricardo Maltione},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Linear {AGC} for conditioning applications with adaptive time constant
                  and frequency dependency},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020890},
  doi          = {10.1145/2020876.2020890},
  timestamp    = {Fri, 01 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FincoMHSSM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FlachJR11,
  author       = {Guilherme Flach and
                  Marcelo O. Johann and
                  Ricardo Reis},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Quadratic placement with single-iteration linear system solver},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {109--112},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020902},
  doi          = {10.1145/2020876.2020902},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FlachJR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HaddadN11,
  author       = {Sandro A. P. Haddad and
                  Ivan Nascimento},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A high-speed low-power {CMOS} comparator using auto-zero offset cancellation
                  technique},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {35--38},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020885},
  doi          = {10.1145/2020876.2020885},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HaddadN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HusemannSRL11,
  author       = {Ronaldo Husemann and
                  Altamiro Amadeu Susin and
                  Valter Roesler and
                  Jos{\'{e}} Valdeni de Lima},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Efficient hardware solution for practical intra h.264/SVC video encoder
                  implementation},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {137--142},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020908},
  doi          = {10.1145/2020876.2020908},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HusemannSRL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KologeskiCKC11,
  author       = {Anelise Kologeski and
                  Caroline Concatto and
                  Fernanda Lima Kastensmidt and
                  Luigi Carro},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {AdNoC case-study for Mpeg4 benchmark: improving performance and saving
                  energy with an adaptive NoC},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {209--214},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020924},
  doi          = {10.1145/2020876.2020924},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KologeskiCKC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KuhnleWB11,
  author       = {Matthias K{\"{u}}hnle and
                  Andr{\'{e}} Wagner and
                  J{\"{u}}rgen Becker},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A statistical power estimation methodology embedded in a SystemC code
                  translator},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020896},
  doi          = {10.1145/2020876.2020896},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KuhnleWB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LasaAGM11,
  author       = {Jose Lasa and
                  Alfredo Arnaud and
                  Joel Gak and
                  Mat{\'{\i}}as R. Miguez},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {On the design of micro power practical GmC filters for biomedical
                  applications},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {23--28},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020883},
  doi          = {10.1145/2020876.2020883},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LasaAGM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LivramentoMMG11,
  author       = {Vinicius S. Livramento and
                  Bruno George de Moraes and
                  Brunno Abner Machado and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {An energy-efficient {FDCT/IDCT} configurable {IP} core for mobile
                  multimedia platforms},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020911},
  doi          = {10.1145/2020876.2020911},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LivramentoMMG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LopesSA11,
  author       = {Alba Sandyra Bezerra Lopes and
                  Ivan Saraiva Silva and
                  Luciano Volcan Agostini},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {An efficient memory hierarchy for full search motion estimation on
                  high definition digital videos},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {131--136},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020907},
  doi          = {10.1145/2020876.2020907},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LopesSA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LuzCA11,
  author       = {Angelo G. da Luz and
                  Eduardo A. C. da Costa and
                  Marilton S. de Aguiar},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Exploring the use of heuristic-based algorithms for the ordering and
                  partitioning of coefficients for power efficient fir filters realization},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {91--96},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020898},
  doi          = {10.1145/2020876.2020898},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LuzCA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MandelliAOM11,
  author       = {Marcelo Mandelli and
                  Alexandre M. Amory and
                  Luciano Ost and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Multi-task dynamic mapping onto NoC-based MPSoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {191--196},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020920},
  doi          = {10.1145/2020876.2020920},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MandelliAOM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarranghelloBRMR11,
  author       = {Felipe S. Marranghello and
                  Vin{\'{\i}}cius Dal Bem and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Francesc Moll and
                  Renato P. Ribas},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Transistor sizing in lithography-aware regular fabrics},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {97--102},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020900},
  doi          = {10.1145/2020876.2020900},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarranghelloBRMR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MioniPAFP11,
  author       = {Daniel Pasti Mioni and
                  William Prodanov and
                  Pedro Miguel Luis Aboim and
                  Paulo Augusto Dal Fabbro and
                  Murilo Pilon Pessatti},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {An ultra low power low voltage linear {PMU} for portable applications},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {29--34},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020884},
  doi          = {10.1145/2020876.2020884},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MioniPAFP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoriAAQM11,
  author       = {Jones Yudi Mori and
                  Daniel M. Mu{\~{n}}oz Arboleda and
                  Janier Arias{-}Garcia and
                  Carlos Humberto Llanos Quintero and
                  Jose M. Motta},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {FPGA-based image processing for omnidirectional vision on mobile robots},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {113--118},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020904},
  doi          = {10.1145/2020876.2020904},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoriAAQM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NavarroM11,
  author       = {Jo{\~{a}}o Navarro Jr. and
                  Gustavo Campos Martins},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Design of high speed digital circuits with {E-TSPC} cell library},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020915},
  doi          = {10.1145/2020876.2020915},
  timestamp    = {Fri, 13 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NavarroM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstMAIMGSRM11,
  author       = {Luciano Ost and
                  Marcelo Mandelli and
                  Gabriel Marchesan Almeida and
                  Leandro Soares Indrusiak and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Gilles Sassatelli and
                  Michel Robert and
                  Fernando Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Exploring dynamic mapping impact on NoC-based MPSoCs performance using
                  a model-based framework},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {185--190},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020919},
  doi          = {10.1145/2020876.2020919},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstMAIMGSRM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalominoCDBAS11,
  author       = {Daniel Palomino and
                  Guilherme Corr{\^{e}}a and
                  Cl{\'{a}}udio Machado Diniz and
                  Sergio Bampi and
                  Luciano Volcan Agostini and
                  Altamiro Amadeu Susin},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Algorithm and hardware design of a fast intra-frame mode decision
                  module for h.264/AVC encoders},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {143--148},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020909},
  doi          = {10.1145/2020876.2020909},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalominoCDBAS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PiovaniS11,
  author       = {Daniel Eduardo Silva Piovani and
                  M{\'{a}}rcio Cherem Schneider},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Design of a temperature-compensated voltage reference based on the
                  {MOSFET} threshold voltage},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {39--44},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020887},
  doi          = {10.1145/2020876.2020887},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PiovaniS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PortoSNAB11,
  author       = {Marcelo Schiavon Porto and
                  Gustavo Sanchez and
                  Diego Noble and
                  Luciano Volcan Agostini and
                  Sergio Bampi},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {An efficient {ME} architecture for high definition videos using the
                  new {MPDS} algorithm},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {119--124},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020905},
  doi          = {10.1145/2020876.2020905},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PortoSNAB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PradoBFA11,
  author       = {Bruno O. Prado and
                  Edna Barros and
                  Thiago Figueiredo and
                  Andre Aziz},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {HdSC: a fast and preemptive modeling for on host HdS development},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {179--184},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020917},
  doi          = {10.1145/2020876.2020917},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PradoBFA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Quere11,
  author       = {Raymond Qu{\'{e}}r{\'{e}}},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Key issues for the design of high power {RF} amplifiers},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {227},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020928},
  doi          = {10.1145/2020876.2020928},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Quere11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReisS11,
  author       = {Vinicius Torres dos Reis and
                  Ilan Schnitman Souza},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A 65nm {VLSI} implementation for the {LTE} turbo decoder},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {155--160},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020912},
  doi          = {10.1145/2020876.2020912},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReisS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RibasSRI11,
  author       = {Renato P. Ribas and
                  Yuyang Sun and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Andr{\'{e}} Ivanov},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Ring oscillators for functional and delay test of latches and flip-flops},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020893},
  doi          = {10.1145/2020876.2020893},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RibasSRI11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaGCCM11,
  author       = {Thiago R. da Rosa and
                  Guilherme Montez Guindani and
                  Douglas de O. Cardoso and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A self-adaptable distributed {DFS} scheme for NoC-based MPSoCs},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {203--208},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020923},
  doi          = {10.1145/2020876.2020923},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaGCCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RothSB11,
  author       = {Christoph Roth and
                  Oliver Sander and
                  J{\"{u}}rgen Becker},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Flexible and efficient co-simulation of networked embedded devices},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020892},
  doi          = {10.1145/2020876.2020892},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RothSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaGPCS11,
  author       = {Johanna Sep{\'{u}}lveda and
                  Guy Gogniat and
                  Ricardo Pires and
                  Jiang Chau Wang and
                  Marius Strum},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Dynamic NoC-based architecture for MPSoC security implementation},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {197--202},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020921},
  doi          = {10.1145/2020876.2020921},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaGPCS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCM11,
  author       = {Ricardo Almeida Ara{\'{u}}jo da Silva and
                  Ana Isabela Ara{\'{u}}jo Cunha and
                  Luciana Martinez},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Optimized sizing of analog circuits using the interior-point method
                  for nonconvex nonlinear functions under {MATLAB}},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {45--50},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020888},
  doi          = {10.1145/2020876.2020888},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaLNF11,
  author       = {Fabricio G. S. Silva and
                  Robson Nunes de Lima and
                  Samy M. Nascimento and
                  Raimundo Carlos Silv{\'{e}}rio Freire},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A concurrent dualband distributed impedance-matching network},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {11--16},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020880},
  doi          = {10.1145/2020876.2020880},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaLNF11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresBS11,
  author       = {Andr{\'{e}} Borin Soares and
                  Alexsandro Cristov{\~{a}}o Bonatto and
                  Altamiro Amadeu Susin},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Integration issues on the development of an h.264/AVC video decoder
                  SoC for {SBTVD} set top box},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {125--130},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020906},
  doi          = {10.1145/2020876.2020906},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SouzaO11,
  author       = {Fl{\'{a}}vio Queiroz de Souza and
                  Nobuo Oki},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Bandgap voltage reference with low susceptibility to electromagnetic
                  interference {(EMI)}},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {51--54},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020889},
  doi          = {10.1145/2020876.2020889},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SouzaO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Srinivasan11,
  author       = {Purushothaman Srinivasan},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Noise and reliability in advanced {CMOS} devices for low power applications},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {228},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020929},
  doi          = {10.1145/2020876.2020929},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Srinivasan11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ThomasRB11,
  author       = {Alexander Thomas and
                  Michael R{\"{u}}ckauer and
                  J{\"{u}}rgen Becker},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {HoneyComb: an application-driven online adaptive reconfigurable hardware
                  architecture},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {173--178},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020916},
  doi          = {10.1145/2020876.2020916},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ThomasRB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VolpatoMGS11,
  author       = {Daniel P. Volpato and
                  Alexandre Keunecke Ign{\'{a}}cio Mendon{\c{c}}a and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel and
                  Luiz Cl{\'{a}}udio Villar dos Santos},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Cache-tuning-aware scratchpad allocation from binaries},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {221--226},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020926},
  doi          = {10.1145/2020876.2020926},
  timestamp    = {Sun, 23 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VolpatoMGS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberN11,
  author       = {Tiago Oliveira Weber and
                  Wilhelmus A. M. Van Noije},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {Analog design synthesis method using simulated annealing and particle
                  swarm optimization},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {85--90},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020897},
  doi          = {10.1145/2020876.2020897},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/XimenesS11,
  author       = {Augusto Ronchini Ximenes and
                  Jacobus W. Swart},
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {A {CMOS} continuous variable gain low-noise amplifier(LNA) for {WLAN}
                  applications},
  booktitle    = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  pages        = {5--10},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2020876.2020879},
  doi          = {10.1145/2020876.2020879},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/XimenesS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2011,
  editor       = {Antonio Carlos Cavalcanti and
                  Elmar U. K. Melcher and
                  J{\"{u}}rgen Becker},
  title        = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {http://dl.acm.org/citation.cfm?id=2020876},
  isbn         = {978-1-4503-0828-1},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Abadir10,
  author       = {Magdy S. Abadir},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Design for reality: knowledge discovery in design and test data},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {54},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854169},
  doi          = {10.1145/1854153.1854169},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Abadir10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmeidaVBSBTCM10,
  author       = {Gabriel Marchesan Almeida and
                  Sameer Varyani and
                  R{\'{e}}mi Busseuil and
                  Gilles Sassatelli and
                  Pascal Benoit and
                  Lionel Torres and
                  Everton Carara and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Evaluating the impact of task migration in multi-processor systems-on-chip},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854174},
  doi          = {10.1145/1854153.1854174},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmeidaVBSBTCM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmukhaizimMK10,
  author       = {Sobeeh Almukhaizim and
                  Mohammad Gh. Mohammad and
                  Mohammad Khajah},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Low-power test in compression-based reconfigurable scan architectures},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854170},
  doi          = {10.1145/1854153.1854170},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmukhaizimMK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BonattoSRSSB10,
  author       = {Alexsandro Cristov{\~{a}}o Bonatto and
                  Andr{\'{e}} Borin Soares and
                  Adriano Renner and
                  Altamiro Amadeu Susin and
                  Leandro Max Silva and
                  Sergio Bampi},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A 720p {H.264/AVC} decoder {ASIC} implementation for digital television
                  set-top boxes},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {168--173},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854196},
  doi          = {10.1145/1854153.1854196},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BonattoSRSSB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BorgesGBL10,
  author       = {Gabriel de M. Borges and
                  Luiz Fernando Gon{\c{c}}alves and
                  Tiago R. Balen and
                  Marcelo Lubaszewski},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Evaluating the effectiveness of a mixed-signal {TMR} scheme based
                  on design diversity},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {134--139},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854188},
  doi          = {10.1145/1854153.1854188},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BorgesGBL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CalimeraMRSR10,
  author       = {Andrea Calimera and
                  Enrico Macii and
                  Danilo Ravotto and
                  Ernesto S{\'{a}}nchez and
                  Matteo Sonza Reorda},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Generating power-hungry test programs for power-aware validation of
                  pipelined processors},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854171},
  doi          = {10.1145/1854153.1854171},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CalimeraMRSR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CallegaroMKRRR10,
  author       = {Vinicius Callegaro and
                  Felipe de Souza Marques and
                  Carlos Eduardo Klock and
                  Leomar Soares da Rosa Jr. and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {SwitchCraft: a framework for transistor network design},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {49--53},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854167},
  doi          = {10.1145/1854153.1854167},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CallegaroMKRRR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ColaciBRCRRPS10,
  author       = {Antonio Colaci and
                  Gianluigi Boarin and
                  Andrea Roggero and
                  Lorenzo Civardi and
                  Carlo Roma and
                  Andreas Ripp and
                  Michael Pronath and
                  Gunter Strube},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Systematic analysis {\&} optimization of analog/mixed-signal circuits
                  balancing accuracy and design time},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854165},
  doi          = {10.1145/1854153.1854165},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ColaciBRCRRPS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ColomboWF10,
  author       = {Dalton Martini Colombo and
                  Gilson In{\'{a}}cio Wirth and
                  Christian Jes{\'{u}}s B. Fayomi},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Design methodology using inversion coefficient for low-voltage low-power
                  {CMOS} voltage reference},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854166},
  doi          = {10.1145/1854153.1854166},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ColomboWF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorreaSA10,
  author       = {Marcel Moscarelli Corr{\^{e}}a and
                  Mateus Thurow Schoenknecht and
                  Luciano Volcan Agostini},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A high performance hardware architecture for the {H.264/AVC} half-pixel
                  motion estimation refinement},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {151--156},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854192},
  doi          = {10.1145/1854153.1854192},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorreaSA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaAM10,
  author       = {Guillermo Costa and
                  Alfredo Arnaud and
                  Mat{\'{\i}}as R. Miguez},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A precision autozero amplifier for {EEG} signals},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {28--32},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854162},
  doi          = {10.1145/1854153.1854162},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaAM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DinizACB10,
  author       = {Cl{\'{a}}udio Machado Diniz and
                  Jo{\~{a}}o S. Altermann and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Performance enhancement of {H.264/AVC} intra frame prediction hardware
                  using efficient 4-2 and 5-2 adder-compressors},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {157--162},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854193},
  doi          = {10.1145/1854153.1854193},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DinizACB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DornellesSA10,
  author       = {Robson Dornelles and
                  Felipe Sampaio and
                  Luciano Volcan Agostini},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Variable block size motion estimation architecture with a fast bottom-up
                  decision mode and an integrated motion compensation targeting the
                  {H.264/AVC} video coding standard},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {186--191},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854199},
  doi          = {10.1145/1854153.1854199},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DornellesSA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Fd10,
  author       = {Antonio Lopes Filho and
                  Roberto d'Amore},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A low complexity image compression solution for onboard space applications},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {174--179},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854197},
  doi          = {10.1145/1854153.1854197},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Fd10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoS10,
  author       = {Francisco de Assis Brito Filho and
                  Fernando Rangel de Sousa},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Wideband ring {VCO} for cognitive radio five-port receiver},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {18--22},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854160},
  doi          = {10.1145/1854153.1854160},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FortunatoF10,
  author       = {Andr{\'{e}} Lu{\'{\i}}s Fortunato and
                  Carlos Alberto dos Reis Filho},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A -60dB THD/100MHz true unity-gain voltage buffer {CMOS} circuit},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {33--36},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854164},
  doi          = {10.1145/1854153.1854164},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FortunatoF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FrancoVNN10,
  author       = {Denis Teixeira Franco and
                  Ma{\'{\i}} Correia Vasconcelos and
                  Lirida A. B. Naviner and
                  Jean{-}Fran{\c{c}}ois Naviner},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {On evaluating the signal reliability of self-checking arithmetic circuits},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {109--114},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854182},
  doi          = {10.1145/1854153.1854182},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FrancoVNN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GalRBD10,
  author       = {Bertrand Le Gal and
                  Aur{\'{e}}lien Ribon and
                  Lilian Bossuet and
                  Dominique Dallet},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Reducing and smoothing power consumption of ROM-based controller implementations},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {8--13},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854157},
  doi          = {10.1145/1854153.1854157},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GalRBD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HoS10,
  author       = {Stanley S. K. Ho and
                  Carlos E. Saavedra},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A 5.4 GHz fully-integrated low-noise mixer},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {14--17},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854159},
  doi          = {10.1145/1854153.1854159},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HoS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KochBT10,
  author       = {Dirk Koch and
                  Christian Beckhoff and
                  Jim T{\o}rresen},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Zero logic overhead integration of partially reconfigurable modules},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {103--108},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854181},
  doi          = {10.1145/1854153.1854181},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KochBT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KumarKP10,
  author       = {Deepak Kumar and
                  Pankaj Kumar and
                  Manisha Pattanaik},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Performance analysis of dynamic threshold {MOS} {(DTMOS)} based 4-input
                  multiplexer switch for low power and high speed {FPGA} design},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {2--7},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854156},
  doi          = {10.1145/1854153.1854156},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KumarKP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KunzGW10,
  author       = {Leonardo Kunz and
                  Gustavo Gir{\~{a}}o and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Evaluation of a hardware transactional memory model in an NoC-based
                  embedded MPSoC},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {85--90},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854177},
  doi          = {10.1145/1854153.1854177},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KunzGW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Kurdahi10,
  author       = {Fadi J. Kurdahi},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Designing working systems with imperfect chips},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {127},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854186},
  doi          = {10.1145/1854153.1854186},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Kurdahi10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LuzCA10,
  author       = {Angelo G. da Luz and
                  Eduardo A. C. da Costa and
                  Marilton S. de Aguiar},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Ordering and partitioning of coefficients based on heuristic algorithms
                  for low power {FIR} filter realization},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {180--185},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854198},
  doi          = {10.1145/1854153.1854198},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LuzCA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquesMRR10,
  author       = {Felipe S. Marques and
                  Osvaldo Martinello and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Improvements on the detection of false paths by using unateness and
                  satisfiability},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {192--197},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854201},
  doi          = {10.1145/1854153.1854201},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquesMRR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MedeirosC10,
  author       = {Leonardo Medeiros and
                  Antonio Carlos Cavalcanti},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {An {MPEG-2} transport stream demultiplexer {IP} corecompliant with
                  {SBTVD}},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {146--150},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854191},
  doi          = {10.1145/1854153.1854191},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MedeirosC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MesquitaPHM10,
  author       = {Daniel Gomes Mesquita and
                  Guilherme Perin and
                  Fernando Lu{\'{\i}}s Herrmann and
                  Jo{\~{a}}o Baptista dos Santos Martins},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {An efficient implementation of montgomery powering ladder in reconfigurable
                  hardware},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {121--126},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854184},
  doi          = {10.1145/1854153.1854184},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MesquitaPHM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NazarGW10,
  author       = {Gabriel Luca Nazar and
                  Christina Gimmler and
                  Norbert Wehn},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Implementation comparisons of the {QR} decomposition for {MIMO} detection},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {210--214},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854204},
  doi          = {10.1145/1854153.1854204},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NazarGW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NejadKSFG10,
  author       = {Shaahin Haddadi Nejad and
                  Ziaaddin Daie Kouzekanani and
                  Jafar Sobhi and
                  Iman Salami Fard and
                  Kuresh Ghanbari},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A high speed, highly linear {CMOS} fully differential track and hold
                  circuit},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {23--27},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854161},
  doi          = {10.1145/1854153.1854161},
  timestamp    = {Mon, 09 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NejadKSFG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NovalFPN10,
  author       = {Jorge Johanny S{\'{a}}enz Noval and
                  Elkim Felipe Roa Fuentes and
                  Armando Ayala Pab{\'{o}}n and
                  Wilhelmus A. M. Van Noije},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A methodology to improve yield in analog circuits by using geometric
                  programming},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {140--145},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854189},
  doi          = {10.1145/1854153.1854189},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NovalFPN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Oklobdzija10,
  author       = {Vojin G. Oklobdzija},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Computing at the ultimate low-energy limits},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854155},
  doi          = {10.1145/1854153.1854155},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Oklobdzija10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraKCS10,
  author       = {Bruno Cruz de Oliveira and
                  M{\'{a}}rcio Eduardo Kreutz and
                  Edgard de Faria Corr{\^{e}}a and
                  Ivan Saraiva Silva},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Exploring memory organization in virtual MP-SoC platforms},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854175},
  doi          = {10.1145/1854153.1854175},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraKCS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraMDM10,
  author       = {Leonardo Londero de Oliveira and
                  Jo{\~{a}}o Baptista dos Santos Martins and
                  Gustavo Fernando Dessbesell and
                  Jos{\'{e}} Monteiro},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {CentroidM: a centroid-based localization algorithm for mobile sensor
                  networks},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {204--209},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854203},
  doi          = {10.1145/1854153.1854203},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraMDM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaSCP10,
  author       = {Johanna Sep{\'{u}}lveda and
                  Marius Strum and
                  Jiang Chau Wang and
                  Ricardo Pires},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {The {LRD} traffic impact on the NoC-based SoCs},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {97--102},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854179},
  doi          = {10.1145/1854153.1854179},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaSCP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCA10,
  author       = {Tha{\'{\i}}sa Leal da Silva and
                  Lu{\'{\i}}s Alberto da Silva Cruz and
                  Luciano Volcan Agostini},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A novel macroblock-level filtering upsampling architecture for {H.264/AVC}
                  scalable extension},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {163--167},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854194},
  doi          = {10.1145/1854153.1854194},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaW10,
  author       = {Maur{\'{\i}}cio Banaszeski da Silva and
                  Gilson I. Wirth},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Modeling the impact of {RTS} on the reliability of ring oscillators},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {128--133},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854187},
  doi          = {10.1145/1854153.1854187},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresCLDMT10,
  author       = {Rafael Soares and
                  Ney Laert Vilar Calazans and
                  Victor Lomn{\'{e}} and
                  Amine Dehbaoui and
                  Philippe Maurine and
                  Lionel Torres},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A {GALS} pipeline {DES} architecture to increase robustness against
                  {DPA} and {DEMA} attacks},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {115--120},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854183},
  doi          = {10.1145/1854153.1854183},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresCLDMT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoRCCM10,
  author       = {Leonel Tedesco and
                  Thiago R. da Rosa and
                  Fabien Clermidy and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Implementation and evaluation of a congestion aware routing algorithm
                  for networks-on-chip},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {91--96},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854178},
  doi          = {10.1145/1854153.1854178},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoRCCM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VieiraAF10,
  author       = {Bernardo C. Vieira and
                  Fabr{\'{\i}}cio Vivas Andrade and
                  Ant{\^{o}}nio Ot{\'{a}}vio Fernandes},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {A modular CNF-based {SAT} solver},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {198--203},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854202},
  doi          = {10.1145/1854153.1854202},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VieiraAF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YuP10,
  author       = {Chenjie Yu and
                  Peter Petrov},
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Adaptive multi-threading for dynamic workloads in embedded multiprocessors},
  booktitle    = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1854153.1854173},
  doi          = {10.1145/1854153.1854173},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/YuP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2010,
  editor       = {Jo{\~{a}}o Antonio Martino and
                  Guido Araujo and
                  Alex Orailoglu and
                  Felipe Klein},
  title        = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9,
                  2010},
  publisher    = {{ACM}},
  year         = {2010},
  isbn         = {978-1-4503-0152-7},
  timestamp    = {Mon, 14 Feb 2011 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AksoyJC09,
  author       = {Levent Aksoy and
                  Diego Jaccottet and
                  Eduardo Costa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of low complexity digital {FIR} filters},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601951},
  doi          = {10.1145/1601896.1601951},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AksoyJC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlvesB09,
  author       = {Diogo Jos{\'{e}} Costa Alves and
                  Edna Barros},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A logic built-in self-test architecture that reuses manufacturing
                  compressed scan test patterns},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601923},
  doi          = {10.1145/1601896.1601923},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlvesB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AraujoMC09,
  author       = {Genival Mariano de Araujo and
                  Heider Marconi G. Madureira and
                  Jos{\'{e}} Camargo da Costa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design and characterization of a 0.35 micron {CMOS} voltage-to-current
                  converter},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601934},
  doi          = {10.1145/1601896.1601934},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AraujoMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArgyridesDPLC09,
  author       = {Costas Argyrides and
                  Giorgos Dimosthenous and
                  Dhiraj K. Pradhan and
                  Carlos Arthur Lang Lisb{\^{o}}a and
                  Luigi Carro},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Reliability aware yield improvement technique for nanotechnology based
                  circuits},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601958},
  doi          = {10.1145/1601896.1601958},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArgyridesDPLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BerejuckZ09,
  author       = {Marcelo Daniel Berejuck and
                  C{\'{e}}sar Albenes Zeferino},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Adding mechanisms for QoS to a network-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601928},
  doi          = {10.1145/1601896.1601928},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BerejuckZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarrilloRVN09,
  author       = {Juan Jos{\'{e}} Carrillo and
                  Elkim Roa and
                  Jos{\'{e}} Vieira and
                  Wilhelmus A. M. Van Noije},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A low-voltage bandgap reference source based on the current-mode technique},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601932},
  doi          = {10.1145/1601896.1601932},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarrilloRVN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ChaparroPRN09,
  author       = {Sergio Chaparro and
                  Armando Ayala Pab{\'{o}}n and
                  Elkim Roa and
                  Wilhelmus A. M. Van Noije},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A merged {RF} {CMOS} LNA-Mixer design using geometric programming},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601917},
  doi          = {10.1145/1601896.1601917},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ChaparroPRN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ConcattoMCKSCK09,
  author       = {Caroline Concatto and
                  Debora Matos and
                  Luigi Carro and
                  Fernanda Lima Kastensmidt and
                  Altamiro Amadeu Susin and
                  {\'{E}}rika F. Cota and
                  M{\'{a}}rcio Eduardo Kreutz},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Fault tolerant mechanism to improve yield in NoCs using a reconfigurable
                  router},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601929},
  doi          = {10.1145/1601896.1601929},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ConcattoMCKSCK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CotaCPRL09,
  author       = {{\'{E}}rika F. Cota and
                  Luigi Carro and
                  Felipe Pinto and
                  Ricardo Augusto da Luz Reis and
                  Marcelo Lubaszewski},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Resource-and-time-aware test strategy for configurable quaternary
                  logic blocks},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601922},
  doi          = {10.1145/1601896.1601922},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CotaCPRL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DornellesSPA09,
  author       = {Robson Dornelles and
                  Felipe Sampaio and
                  Daniel Palomino and
                  Luciano Volcan Agostini},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Transforms and quantization design targeting the {H.264/AVC} intra
                  prediction constraints},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601914},
  doi          = {10.1145/1601896.1601914},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DornellesSPA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/EusseHJ09,
  author       = {Juan Fernando Eusse Giraldo and
                  Michael H{\"{u}}bner and
                  Ricardo Pezzuol Jacobi},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{BRICK:} a multi-context expression grained reconfigurable architecture},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601942},
  doi          = {10.1145/1601896.1601942},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/EusseHJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FernandesOS09,
  author       = {S{\'{\i}}lvio R. F. de Fernandes and
                  Bruno Cruz de Oliveira and
                  Ivan Saraiva Silva},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Using NoC routers as processing elements},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601927},
  doi          = {10.1145/1601896.1601927},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FernandesOS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FiorelliSP09,
  author       = {Rafaella Fiorelli and
                  Fernando Silveira and
                  Eduardo J. Peral{\'{\i}}as},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Phase noise - consumption trade-off in low power {RF-LC-VCO} design
                  in micro and nanometric technologies},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601918},
  doi          = {10.1145/1601896.1601918},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FiorelliSP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoncalvesBHL09,
  author       = {Luiz Fernando Gon{\c{c}}alves and
                  Jefferson Luiz Bosa and
                  Renato V. B. Henriques and
                  Marcelo Lubaszewski},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of an embedded system for the proactive maintenance of electrical
                  valves},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601906},
  doi          = {10.1145/1601896.1601906},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoncalvesBHL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HalderDNS09,
  author       = {Raju Halder and
                  Parthasarathi Dasgupta and
                  Saptarshi Naskar and
                  Samar Sen{-}Sarma},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {An internet-based {IP} protection scheme for circuit designs using
                  linear feedback shift register (LFSR)-based locking},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601915},
  doi          = {10.1145/1601896.1601915},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HalderDNS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KleinBACA09,
  author       = {Felipe Klein and
                  Alexandro Baldassin and
                  Guido Araujo and
                  Paulo Centoducatte and
                  Rodolfo Azevedo},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {On the energy-efficiency of software transactional memory},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601938},
  doi          = {10.1145/1601896.1601938},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KleinBACA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LacerdaSAC09,
  author       = {Luciano A. de Lacerda and
                  Edson P. Santana and
                  Cleber Vin{\'{\i}}cius A. de Almeida and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Distortion analysis of analog multiplier circuits using two-dimensional
                  integral nonlinear function},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601908},
  doi          = {10.1145/1601896.1601908},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LacerdaSAC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeyssenneKDB09,
  author       = {Laurent Leyssenne and
                  Eric Kerherve and
                  Yann Deval and
                  Didier Belot},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A novel delta sigma built-in-current-sensor as a signal strength indicator
                  for {RF} transceiver reconfiguration},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601919},
  doi          = {10.1145/1601896.1601919},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeyssenneKDB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lima09,
  author       = {Jader A. De Lima},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A compact low-distortion low-power instrumentation amplifier},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601931},
  doi          = {10.1145/1601896.1601931},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lima09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Maharbiz09,
  author       = {Michel M. Maharbiz},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A cyborg beetle: wireless neural flight control of a free-flying insect},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601900},
  doi          = {10.1145/1601896.1601900},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Maharbiz09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MajekDLB09,
  author       = {C{\'{e}}dric Majek and
                  Yann Deval and
                  Herv{\'{e}} Lapuyade and
                  Jean{-}Baptiste B{\'{e}}gueret},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Voltage controlled delay line with phase quadrature outputs for {[0.9-4]}
                  GHz {F-DLL} dedicated to zero-IF multi-standard {LO}},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601953},
  doi          = {10.1145/1601896.1601953},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MajekDLB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MansanoBOS09,
  author       = {Andr{\'{e}} Mansano and
                  Andre Vilas Boas and
                  Alfredo Olmos and
                  Jefferson Soldera},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Zero quiescent current startup circuit with automatic turning-off
                  for low power current and voltage reference},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601933},
  doi          = {10.1145/1601896.1601933},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MansanoBOS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MansanoLS09,
  author       = {Andr{\'{e}} Mansano and
                  Jader A. De Lima and
                  Jacobus W. Swart},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A compact fast-response charge-pump gate driver},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601909},
  doi          = {10.1145/1601896.1601909},
  timestamp    = {Wed, 25 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MansanoLS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquezSC09,
  author       = {Carlos Ivan Castro Marquez and
                  Marius Strum and
                  Jiang Chau Wang},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A PD-based methodology to enhance efficiency in testbenches with random
                  stimulation},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601921},
  doi          = {10.1145/1601896.1601921},
  timestamp    = {Fri, 04 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquezSC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MatroseG09,
  author       = {Valerij Matrose and
                  Carsten Gremzow},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Improved placement for hierarchical FPGAs exploiting local interconnect
                  resources},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601943},
  doi          = {10.1145/1601896.1601943},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MatroseG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MiguezAG09,
  author       = {Mat{\'{\i}}as R. Miguez and
                  Alfredo Arnaud and
                  Joel Gak},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A self-protected integrated switch in a {HV} technology},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601910},
  doi          = {10.1145/1601896.1601910},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MiguezAG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MirandaC09,
  author       = {Igor Dantas dos Santos Miranda and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{ASIC} design of a novel high performance neuroprocessor architecture
                  for multi layered perceptron networks},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601941},
  doi          = {10.1145/1601896.1601941},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MirandaC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraNPF09,
  author       = {Luiz Carlos Moreira and
                  Wilhelmus A. M. Van Noije and
                  Armando Ayala Pab{\'{o}}n and
                  Andr{\'{e}}s Farf{\'{a}}n{-}Pel{\'{a}}ez},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Comparison of small cross inductors and rectangular inductors designed
                  in 0.35um {CMOS} technology},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601955},
  doi          = {10.1145/1601896.1601955},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraNPF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoOW09,
  author       = {Francisco Assis Moreira do Nascimento and
                  Marcio F. da S. Oliveira and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Using {MDE} for the formal verification of embedded systems modeled
                  by {UML} sequence diagrams},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601962},
  doi          = {10.1145/1601896.1601962},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoOW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NeubergerWR09,
  author       = {Gustavo Neuberger and
                  Gilson I. Wirth and
                  Ricardo Reis},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Protecting digital circuits against hold time violation due to process
                  variability},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601959},
  doi          = {10.1145/1601896.1601959},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NeubergerWR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ochoa-MontielAK09,
  author       = {M. A. Ochoa{-}Montiel and
                  Bashir M. Al{-}Hashimi and
                  Peter Kollig},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of a low power {MPEG-1} motion vector reconstructor},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601913},
  doi          = {10.1145/1601896.1601913},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ochoa-MontielAK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraFNRW09,
  author       = {Marcio F. da S. Oliveira and
                  Ronaldo Rodrigues Ferreira and
                  Francisco Assis Moreira do Nascimento and
                  Franz J. Rammig and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Exploiting the model-driven engineering approach to improve design
                  space exploration of embedded systems},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601904},
  doi          = {10.1145/1601896.1601904},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraFNRW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstGIRRM09,
  author       = {Luciano Ost and
                  Guilherme Montez Guindani and
                  Leandro Soares Indrusiak and
                  Cezar Reinbrecht and
                  Thiago Raupp da Rosa and
                  Fernando Moraes},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A high abstraction, high accuracy power estimation model for networks-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601936},
  doi          = {10.1145/1601896.1601936},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstGIRRM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PlettL09,
  author       = {Calvin Plett and
                  Robson Nunes de Lima},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Low-power {CMOS} transceivers with on-chip antennas for short-range
                  radio-frequency communication},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601899},
  doi          = {10.1145/1601896.1601899},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PlettL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RavottoSRS09,
  author       = {Danilo Ravotto and
                  Edgar E. S{\'{a}}nchez and
                  Matteo Sonza Reorda and
                  Giovanni Squillero},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design validation of multithreaded architectures using concurrent
                  threads evolution},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601964},
  doi          = {10.1145/1601896.1601964},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RavottoSRS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReisF09,
  author       = {Andr{\'{e}} In{\'{a}}cio Reis and
                  Roner G. Fabris},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {What about the {IP} of your IP?: an introduction to intellectual property
                  law for engineers and scientists},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601898},
  doi          = {10.1145/1601896.1601898},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReisF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Reyes-BarrancaMAGF09,
  author       = {Mario Alfredo Reyes{-}Barranca and
                  Salvador Mendoza{-}Acevedo and
                  Alejandro {\'{A}}vila{-}Garc{\'{\i}}a and
                  Jos{\'{e}} Luis Gonz{\'{a}}lez{-}Vidal and
                  Luis M. Flores{-}Nava},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Floating gate {MOSFET} circuit design for a monolithic {MEMS} {GAS}
                  sensor},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601946},
  doi          = {10.1145/1601896.1601946},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Reyes-BarrancaMAGF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SamrowCSTT09,
  author       = {Hagen S{\"{a}}mrow and
                  Claas Cornelius and
                  Frank Sill and
                  Andreas Tockhorn and
                  Dirk Timmermann},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Twin logic gates: improved logic reliability by redundancy concerning
                  gate oxide breakdown},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601960},
  doi          = {10.1145/1601896.1601960},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SamrowCSTT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/San-UmM09,
  author       = {Wimol San{-}Um and
                  Masayoshi Tachibana},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Simultaneous impulse stimulation and response sampling technique for
                  built-in self test of linear analog integrated circuits},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601924},
  doi          = {10.1145/1601896.1601924},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/San-UmM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezMLA09,
  author       = {Diego F. S{\'{a}}nchez and
                  Daniel M. Mu{\~{n}}oz and
                  Carlos H. Llanos and
                  Mauricio Ayala{-}Rinc{\'{o}}n},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Parameterizable floating-point library for arithmetic operations in
                  FPGAs},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601948},
  doi          = {10.1145/1601896.1601948},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezMLA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanderRSB09,
  author       = {Oliver Sander and
                  Christoph Roth and
                  Vitali Stuckert and
                  J{\"{u}}rgen Becker},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {System concept for an {FPGA} based real-time capable automotive {ECU}
                  simulation system},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601940},
  doi          = {10.1145/1601896.1601940},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanderRSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SawanG09,
  author       = {Mohamad Sawan and
                  Benoit Gosselin},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Multichannel intracortical neurorecording: integration and packaging
                  challenges},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601901},
  doi          = {10.1145/1601896.1601901},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SawanG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SchmidtW09,
  author       = {Daniel Schmidt and
                  Norbert Wehn},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{DRAM} power management and energy consumption: a critical assessment},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601937},
  doi          = {10.1145/1601896.1601937},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SchmidtW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SillM09,
  author       = {Frank Sill and
                  Davies W. de Lima Monteiro},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Pipelined successive approximation conversion {(PSAC)} with error
                  correction for a {CMOS} ophthalmic sensor},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601945},
  doi          = {10.1145/1601896.1601945},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SillM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Silva-FilhoA09,
  author       = {Abel G. Silva{-}Filho and
                  Cristiano C. de Ara{\'{u}}jo},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A methodology for tuning two-level cache hierarchy considering energy
                  and performance},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601905},
  doi          = {10.1145/1601896.1601905},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Silva-FilhoA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCAPB09,
  author       = {Andr{\'{e}} Silva and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {High performance motion estimation architecture using efficient adder-compressors},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601912},
  doi          = {10.1145/1601896.1601912},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCAPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaPSBA09,
  author       = {Tha{\'{\i}}sa Leal da Silva and
                  F{\'{a}}bio I. Pereira and
                  Altamiro Amadeu Susin and
                  Sergio Bampi and
                  Luciano Volcan Agostini},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {High performance and low cost architecture for {H.264/AVC} {CAVLD}
                  targeting {HDTV}},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601949},
  doi          = {10.1145/1601896.1601949},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaPSBA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaS09,
  author       = {Antonio Felipe de Freitas Silva and
                  Fernando Rangel de Sousa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Highly improved {IIP2} direct conversion receiver},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601954},
  doi          = {10.1145/1601896.1601954},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilveiraBM09,
  author       = {George Sobral Silveira and
                  Alisson Vasconcelos de Brito and
                  Elmar U. K. Melcher},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Functional verification of power gate design in SystemC {RTL}},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601963},
  doi          = {10.1145/1601896.1601963},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilveiraBM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SouzaML09,
  author       = {Viviane Lucy Santos de Souza and
                  Victor Wanderley Costa de Medeiros and
                  Manoel Eus{\'{e}}bio de Lima},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Architecture for dense matrix multiplication on a high-performance
                  reconfigurable system},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601950},
  doi          = {10.1145/1601896.1601950},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SouzaML09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoCM09,
  author       = {Leonel Tedesco and
                  Fabien Clermidy and
                  Fernando Moraes},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A path-load based adaptive routing algorithm for networks-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601926},
  doi          = {10.1145/1601896.1601926},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/UddinIHAR09,
  author       = {Md Jasim Uddin and
                  Muhammad I. Ibrahimy and
                  Muhammad A. Hasan and
                  Mohd. Alauddin Mohd. Ali and
                  Mamun Bin Ibne Reaz},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{CMOS} 2.45GHz {RF} power amplifier for {RFID} reader},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601956},
  doi          = {10.1145/1601896.1601956},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/UddinIHAR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WuergesSFR09,
  author       = {Emilio Wuerges and
                  Luiz C. V. dos Santos and
                  Olinto J. V. Furtado and
                  Sandro Rigo},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {An early real-time checker for retargetable compile-time analysis},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601965},
  doi          = {10.1145/1601896.1601965},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/WuergesSFR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YuZP09,
  author       = {Chenjie Yu and
                  Xiangrong Zhou and
                  Peter Petrov},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Low-power inter-core communication through cache partitioning in embedded
                  multiprocessors},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601903},
  doi          = {10.1145/1601896.1601903},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/YuZP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2009,
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  isbn         = {978-1-60558-705-9},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AguirreS08,
  author       = {Pablo Aguirre and
                  Fernando Silveira},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {{CMOS} op-amp power optimization in all regions of inversion using
                  geometric programming},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {152--157},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404416},
  doi          = {10.1145/1404371.1404416},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AguirreS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AksoyG08,
  author       = {Levent Aksoy and
                  Ece Olcay G{\"{u}}nes},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {An approximate algorithm for the multiple constant multiplications
                  problem},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {58--63},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404395},
  doi          = {10.1145/1404371.1404395},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AksoyG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AksoyG08a,
  author       = {Levent Aksoy and
                  Ece Olcay G{\"{u}}nes},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Area optimization algorithms in high-speed digital {FIR} filter synthesis},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {64--69},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404396},
  doi          = {10.1145/1404371.1404396},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AksoyG08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AndradeSF08,
  author       = {Fabr{\'{\i}}cio Vivas Andrade and
                  Leandro Maia Silva and
                  Ant{\^{o}}nio Ot{\'{a}}vio Fernandes},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {BenCGen: a digital circuit generation tool for benchmarks},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {164--169},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404418},
  doi          = {10.1145/1404371.1404418},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AndradeSF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarrosMSNMBNSL08,
  author       = {Abner Corr{\^{e}}a Barros and
                  Victor Wanderley Costa de Medeiros and
                  Viviane Lucy Santos de Souza and
                  Paulo S{\'{e}}rgio Brand{\~{a}}o do Nascimento and
                  {\^{A}}ngelo Mazer and
                  Jo{\~{a}}o Paulo Fernandes Barbosa and
                  Bruno P. Neves and
                  Ismael Santos and
                  Manoel Eus{\'{e}}bio de Lima},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Implementation of a double-precision multiplier accumulator with exception
                  treatment to a dense matrix multiplier module in {FPGA}},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {40--45},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404392},
  doi          = {10.1145/1404371.1404392},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarrosMSNMBNSL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BoasROM08,
  author       = {Andre Vilas Boas and
                  Eduardo Ribeiro and
                  Alfredo Olmos and
                  Ricardo Maltione},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Self-adaptable slew rate control output buffer for embedded microcontroller
                  port applications},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {205--209},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404427},
  doi          = {10.1145/1404371.1404427},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BoasROM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BorrioneHPS08,
  author       = {Dominique Borrione and
                  Amr Helmy and
                  Laurence Pierre and
                  Julien Schmaltz},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Executable formal specification and validation of NoC communication
                  infrastructures},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {176--181},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404421},
  doi          = {10.1145/1404371.1404421},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BorrioneHPS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CallouMANT08,
  author       = {Gustavo Rau de Almeida Callou and
                  Paulo Romero Martins Maciel and
                  Ermeson Carneiro de Andrade and
                  Bruno Costa e Silva Nogueira and
                  Eduardo Antonio Guimar{\~{a}}es Tavares},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A coloured petri net based approach for estimating execution time
                  and energy consumption in embedded systems},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {134--139},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404412},
  doi          = {10.1145/1404371.1404412},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CallouMANT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CastroCS08,
  author       = {Helano Castro and
                  Alexandre Augusto Coelho and
                  Ricardo Jardel Silveira},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Fault-tolerance in FPGA's through {CRC} voting},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {188--192},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404424},
  doi          = {10.1145/1404371.1404424},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CastroCS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ConradCBG08,
  author       = {Eduardo Conrad Jr. and
                  Fernando da Rocha Paix{\~{a}}o Cortes and
                  Sergio Bampi and
                  Alessandro Girardi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Early voltage and saturation voltage improvement in deep sub-micron
                  technologies using associations of transistors},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {105--110},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404406},
  doi          = {10.1145/1404371.1404406},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ConradCBG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorneliusSSSTS08,
  author       = {Claas Cornelius and
                  Frank Sill and
                  Hagen S{\"{a}}mrow and
                  Jakob Salzmann and
                  Dirk Timmermann and
                  Di{\'{o}}genes Cecilio da Silva Jr.},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Encountering gate oxide breakdown with shadow transistors to increase
                  reliability},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404407},
  doi          = {10.1145/1404371.1404407},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorneliusSSSTS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CortesB08,
  author       = {Fernando da Rocha Paix{\~{a}}o Cortes and
                  Sergio Bampi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A 40mhz 70db gain variable gain amplifier design using the gm/id design
                  method},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {76--80},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404399},
  doi          = {10.1145/1404371.1404399},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CortesB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DepraRB08,
  author       = {Dieison Antonello Depr{\'{a}} and
                  Vagner Santos Da Rosa and
                  Sergio Bampi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A novel hardware architecture design for binary arithmetic decoder
                  engines based on bitstream flow analysis},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {239--244},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404434},
  doi          = {10.1145/1404371.1404434},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DepraRB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraGP08,
  author       = {Pietro Maris Ferreira and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Antonio Petraglia},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Current mode read-out circuit for infrared photodiode applications
                  in 0.35 \emph{mu}m cmos},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {100--104},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404405},
  doi          = {10.1145/1404371.1404405},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraGP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FidalgoAGF08,
  author       = {Andr{\'{e}} V. Fidalgo and
                  Gustavo R. Alves and
                  Manuel G. Gericota and
                  Jos{\'{e}} Manuel Martins Ferreira},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A comparative analysis of fault injection methods via enhanced on-chip
                  debug infrastructures},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {22--27},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404388},
  doi          = {10.1145/1404371.1404388},
  timestamp    = {Fri, 11 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FidalgoAGF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FiorelliSPVRH08,
  author       = {Rafaella Fiorelli and
                  Fernando Silveira and
                  Eduardo J. Peral{\'{\i}}as and
                  Diego V{\'{a}}zquez and
                  Adoraci{\'{o}}n Rueda and
                  Jos{\'{e}} Luis Huertas},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A 2.4GHz {LNA} in a 90-nm {CMOS} technology designed with {ACM} model},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {70--75},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404398},
  doi          = {10.1145/1404371.1404398},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FiorelliSPVRH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GhellarL08,
  author       = {Felipe Ghellar and
                  Marcelo Lubaszewski},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A novel {AES} cryptographic core highly resistant to differential
                  power analysis attacks},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {140--145},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404413},
  doi          = {10.1145/1404371.1404413},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GhellarL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HaasGP08,
  author       = {Hugo de Lemos Haas and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Antonio Petraglia},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Analog hardware implementation of a vector quantizer for focal-plane
                  image compression},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {233--238},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404433},
  doi          = {10.1145/1404371.1404433},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HaasGP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HeckH08,
  author       = {Giancarlo Covolo Heck and
                  Roberto A. Hexsel},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {The performance of pollution control victim cache for embedded systems},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {46--51},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404393},
  doi          = {10.1145/1404371.1404393},
  timestamp    = {Wed, 20 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HeckH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HusemannSR08,
  author       = {Ronaldo Husemann and
                  Altamiro Amadeu Susin and
                  Valter Roesler},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A new pipelined architecture of an {H.264/MPEG-4} {AVC} deblocking
                  filter},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {222--227},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404431},
  doi          = {10.1145/1404371.1404431},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HusemannSR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JacobiB08,
  author       = {Ricardo P. Jacobi and
                  Reinaldo A. Bergamaschi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Challenges of the nanoscale era},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404384},
  doi          = {10.1145/1404371.1404384},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JacobiB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Jerraya08,
  author       = {Ahmed Maine Jerraya},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {System design for 3D Silicon integration},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404382},
  doi          = {10.1145/1404371.1404382},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Jerraya08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Jespers08,
  author       = {Paul G. A. Jespers},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Sizing {CMOS} circuits by means of the gm/ID methodology and a compact
                  model},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404373},
  doi          = {10.1145/1404371.1404373},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Jespers08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JiaCH08,
  author       = {Yanming Jia and
                  Yici Cai and
                  Xianlong Hong},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Full-chip routing system for reducing Cu {CMP} {\&} {ECP} variation},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {10--15},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404386},
  doi          = {10.1145/1404371.1404386},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JiaCH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LalletPS08,
  author       = {Julien Lallet and
                  S{\'{e}}bastien Pillement and
                  Olivier Sentieys},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Efficient dynamic reconfiguration for multi-context embedded {FPGA}},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {210--215},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404428},
  doi          = {10.1145/1404371.1404428},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LalletPS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaP08,
  author       = {Jader A. De Lima and
                  Wallace A. Pimenta},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A current limiter for {DC/DC} regulators with internal compensation
                  for process and temperature},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {94--99},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404404},
  doi          = {10.1145/1404371.1404404},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LucksO08,
  author       = {Marcio Barbosa Lucks and
                  Nobuo Oki},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {{RBF} circuits based on folded cascode differential pairs},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {90--93},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404402},
  doi          = {10.1145/1404371.1404402},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LucksO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MateusRHN08,
  author       = {Juan Mateus and
                  Elkim Roa and
                  Hugo Daniel Hern{\'{a}}ndez and
                  Wilhelmus A. M. Van Noije},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A 2.7ua sub1-v voltage reference},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {81--84},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404400},
  doi          = {10.1145/1404371.1404400},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MateusRHN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Micheli08,
  author       = {Giovanni De Micheli},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {System-level design technologies for heterogeneous distributed systems},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404378},
  doi          = {10.1145/1404371.1404378},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Micheli08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoraesCPC08,
  author       = {Fernando Gehm Moraes and
                  Everton Carara and
                  Daniel V. Pigatto and
                  Ney Laert Vilar Calazans},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {{MOTIM:} an industrial application using nocs},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {182--187},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404422},
  doi          = {10.1145/1404371.1404422},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoraesCPC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NaserM08,
  author       = {Mahmoud Ben Naser and
                  Csaba Andras Moritz},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Power and performance tradeoffs with process variation resilient adaptive
                  cache architectures},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {123--128},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404410},
  doi          = {10.1145/1404371.1404410},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NaserM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliverosCRN08,
  author       = {Jorge Oliveros and
                  Dwight Cabrera and
                  Elkim Roa and
                  Wilhelmus A. M. Van Noije},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {An improved and automated design tool for the optimization of {CMOS}
                  OTAs using geometric programming},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {146--151},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404415},
  doi          = {10.1145/1404371.1404415},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliverosCRN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstMMIGMN08,
  author       = {Luciano Ost and
                  Fernando Gehm Moraes and
                  Leandro M{\"{o}}ller and
                  Leandro Soares Indrusiak and
                  Manfred Glesner and
                  Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Jari Nurmi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A simplified executable model to evaluate latency and throughput of
                  networks-on-chip},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {170--175},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404420},
  doi          = {10.1145/1404371.1404420},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstMMIGMN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Pan08,
  author       = {David Z. Pan},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Synergistic modeling and optimization for nanometer {IC} design/manufacturing
                  integration},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404374},
  doi          = {10.1145/1404371.1404374},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Pan08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Pan08a,
  author       = {David Z. Pan},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Lithography friendly routing: from construct-by-correction to correct-by-construction},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404380},
  doi          = {10.1145/1404371.1404380},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Pan08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PaulaSB08,
  author       = {Luciano Severino de Paula and
                  Altamiro Amadeu Susin and
                  Sergio Bampi},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A wide band {CMOS} differential voltage-controlled ring oscillator},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {85--89},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404401},
  doi          = {10.1145/1404371.1404401},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PaulaSB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PilottoAK08,
  author       = {Conrado Pilotto and
                  Jos{\'{e}} Rodrigo Azambuja and
                  Fernanda Lima Kastensmidt},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Synchronizing triple modular redundant designs in dynamic partial
                  reconfiguration applications},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {199--204},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404426},
  doi          = {10.1145/1404371.1404426},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PilottoAK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PortoBSA08,
  author       = {Marcelo Schiavon Porto and
                  Sergio Bampi and
                  Altamiro Amadeu Susin and
                  Luciano Volcan Agostini},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Architectural design for the new {QSDS} with dynamic iteration control
                  motion estimation algorithm targeting {HDTV}},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {216--221},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404430},
  doi          = {10.1145/1404371.1404430},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PortoBSA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RemyCPMP08,
  author       = {Laurent Remy and
                  Philippe Coll and
                  Fabrice Picot and
                  Philippe Mico and
                  Jean{-}Michel Portal},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Metal filling impact on standard cells: definition of the metal fill
                  corner concept},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {16--21},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404387},
  doi          = {10.1145/1404371.1404387},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RemyCPMP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RhodC08,
  author       = {Eduardo Luis Rhod and
                  Luigi Carro},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {An efficient test and characterization approach for nanowire-based
                  architectures},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {34--39},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404390},
  doi          = {10.1145/1404371.1404390},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RhodC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Roberts08,
  author       = {Gordon W. Roberts},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Test Methods For Sigma-Delta Data Converters and Related Devices},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404375},
  doi          = {10.1145/1404371.1404375},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Roberts08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RobertsA08,
  author       = {Gordon W. Roberts and
                  Mohammed Ali{-}Bakhshian},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Time-domain analog signal processing techniques},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404381},
  doi          = {10.1145/1404371.1404381},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RobertsA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaNBPKM08,
  author       = {Eduardo Ribeiro da Silva and
                  Ivan Carlos Ribeiro do Nascimento and
                  Frank Herman Behrens and
                  Marcos Mauricio Pelicia and
                  Remerson Stein Kickhofel and
                  Ricardo Maltione},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Power management techniques for very low consumption and {EMI} reduction
                  in automotive applications},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {129--133},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404411},
  doi          = {10.1145/1404371.1404411},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaNBPKM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilverioCFS08,
  author       = {Wagner Vieira Silv{\'{e}}rio and
                  Jana{\'{\i}}na Domingues Costa and
                  Jo{\~{a}}o Leonardo Fragoso and
                  Julio Le{\~{a}}o Silva Jr.},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Low-area {ASIC} implementation for configurable coefficients {FIR}
                  pulse shape filters of digital {TV} systems},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {52--57},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404394},
  doi          = {10.1145/1404371.1404394},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilverioCFS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresBS08,
  author       = {Andr{\'{e}} Borin Soares and
                  Alexsandro Cristov{\~{a}}o Bonatto and
                  Altamiro Amadeu Susin},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A new march sequence to fit {DDR} {SDRAM} test in burst mode},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {28--33},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404389},
  doi          = {10.1145/1404371.1404389},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresBS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresCLMTR08,
  author       = {Rafael Soares and
                  Ney Laert Vilar Calazans and
                  Victor Lomn{\'{e}} and
                  Philippe Maurine and
                  Lionel Torres and
                  Michel Robert},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Evaluating the robustness of secure triple track logic through prototyping},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {193--198},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404425},
  doi          = {10.1145/1404371.1404425},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresCLMTR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SobralBLC08,
  author       = {Victor Ariel Leal Sobral and
                  Roberto Espinheira da Costa Bomfim and
                  Robson Nunes de Lima and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Systematic methodology for the design of Seevinck's {CMOS} log-domain
                  integrators},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {158--163},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404417},
  doi          = {10.1145/1404371.1404417},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SobralBLC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WilkeFMR08,
  author       = {Gustavo Wilke and
                  Renan Fonseca and
                  Cecilia Mezzomo and
                  Ricardo Reis},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {A novel scheme to reduce short-circuit power in mesh-based clock architectures},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {117--122},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404409},
  doi          = {10.1145/1404371.1404409},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WilkeFMR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Yanduru08,
  author       = {Naveen K. Yanduru},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Highly integrated, re-configurable {RF} front-ends in deep sub-micron
                  {CMOS:} (with an example of a WCDMA, {GSM/GPRS/EDGE} receiver without
                  inter-stage {SAW} filter)},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404376},
  doi          = {10.1145/1404371.1404376},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Yanduru08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZattSBA08,
  author       = {Bruno Zatt and
                  Altamiro Amadeu Susin and
                  Sergio Bampi and
                  Luciano Volcan Agostini},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {High throughput architecture for {H.264/AVC} motion compensation sample
                  interpolator for {HDTV}},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {228--232},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404432},
  doi          = {10.1145/1404371.1404432},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZattSBA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2008,
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  publisher    = {{ACM}},
  year         = {2008},
  isbn         = {978-1-60558-231-3},
  timestamp    = {Mon, 05 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AbrahamsenL07,
  author       = {Jens Petter Abrahamsen and
                  Tor Sverre Lande},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Soft-well digital circuit design},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {196--201},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284535},
  doi          = {10.1145/1284480.1284535},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbrahamsenL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AgostinhoHLSS07,
  author       = {Peterson R. Agostinho and
                  Sandro A. P. Haddad and
                  Jader A. De Lima and
                  Wouter A. Serdijn and
                  Osamu Saotome},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A ultra low power {CMOS} pA/V transconductor and its application to
                  wavelet filters},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {31--35},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284497},
  doi          = {10.1145/1284480.1284497},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AgostinhoHLSS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArgyridesLCP07,
  author       = {Costas Argyrides and
                  Carlos Arthur Lang Lisb{\^{o}}a and
                  Luigi Carro and
                  Dhiraj K. Pradhan},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A soft error robust and power aware memory design},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {300--305},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284560},
  doi          = {10.1145/1284480.1284560},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArgyridesLCP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArnaudBGM07,
  author       = {Alfredo Arnaud and
                  Martin Bremermann and
                  Joel Gak and
                  Mat{\'{\i}}as R. Miguez},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {On the design of ultra low noise amplifiers for {ENG} recording},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {65--70},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284505},
  doi          = {10.1145/1284480.1284505},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArnaudBGM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarcelosBW07,
  author       = {Daniel Barcelos and
                  Eduardo Wenzel Bri{\~{a}}o and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A hybrid memory organization to enhance task migration and dynamic
                  task allocation in NoC-based MPSoCs},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {282--287},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284557},
  doi          = {10.1145/1284480.1284557},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarcelosBW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BobdaHMRJ07,
  author       = {Christophe Bobda and
                  Thomas Haller and
                  Felix M{\"{u}}hlbauer and
                  Dennis Rech and
                  Simon Jung},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Design of adaptive multiprocessor on chip systems},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {177--183},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284531},
  doi          = {10.1145/1284480.1284531},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BobdaHMRJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BolzaniBR07,
  author       = {Let{\'{\i}}cia Maria Veiras Bolzani and
                  Paolo Bernardi and
                  Matteo Sonza Reorda},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {An optimized hybrid approach to provide fault detection and correction
                  in SoCs},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {342--347},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284570},
  doi          = {10.1145/1284480.1284570},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BolzaniBR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BolzaniSR07,
  author       = {Let{\'{\i}}cia Maria Veiras Bolzani and
                  Edgar E. S{\'{a}}nchez and
                  Matteo Sonza Reorda},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A software-based methodology for the generation of peripheral test
                  sets based on high-level descriptions},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {348--353},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284571},
  doi          = {10.1145/1284480.1284571},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BolzaniSR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BragaDRSCSMSS07,
  author       = {Leo Huf Campos Braga and
                  Suzana Domingues and
                  Milton F. Rocha and
                  Leonardo Bruno de S{\'{a}} and
                  Fernando de Souza Campos and
                  Filipe V. Santos and
                  Antonio Carneiro de Mesquita Filho and
                  M{\'{a}}rio Vaz Silva and
                  Jacobus W. Swart},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Layout techniques for radiation hardening of standard {CMOS} active
                  pixel sensors},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {257--262},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284550},
  doi          = {10.1145/1284480.1284550},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BragaDRSCSMSS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BritoB07,
  author       = {Juan Pablo Martinez Brito and
                  Sergio Bampi},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Design of a digital {FM} demodulator based on a 2nddegree order all-digital
                  phase-locked loop},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {137--141},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284522},
  doi          = {10.1145/1284480.1284522},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BritoB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CampanellaUNPBE07,
  author       = {Humberto Campanella and
                  Arantxa Uranga and
                  Pascal Nouet and
                  Pedro De Paco Sanchez and
                  N{\'{u}}ria Barniol and
                  Jaume Esteve},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Instantaneous de-embedding of the on-wafer equivalent-circuit parameters
                  of acoustic resonator {(FBAR)} for integrated circuit applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {212--217},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284539},
  doi          = {10.1145/1284480.1284539},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CampanellaUNPBE07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CamposMFSDS07,
  author       = {Fernando de Souza Campos and
                  Ognian Marinov and
                  Naser Faramarzpour and
                  Fay{\c{c}}al Saffih and
                  M. Jamal Deen and
                  Jacobus W. Swart},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A multisampling time-domain {CMOS} imager with synchronous readout
                  circuit},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {53--58},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284502},
  doi          = {10.1145/1284480.1284502},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CamposMFSDS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CararaMC07,
  author       = {Everton Carara and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Router architecture for high-performance NoCs},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284515},
  doi          = {10.1145/1284480.1284515},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CararaMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Cembrano07,
  author       = {Gustavo Li{\~{n}}{\'{a}}n Cembrano},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Focal plane processors {\&} pixel level processing: mimicking
                  natural vision systems to solve image processing problems},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284489},
  doi          = {10.1145/1284480.1284489},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Cembrano07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Chattopadhyay07,
  author       = {Subhomoy Chattopadhyay},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Low power design techniques for nanometer design processes: 65 nm
                  and smaller},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284486},
  doi          = {10.1145/1284480.1284486},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Chattopadhyay07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ColomboWB07,
  author       = {Dalton M. Colombo and
                  Gilson I. Wirth and
                  Sergio Bampi},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Trim range limited by noise in bandgap voltage references},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {42--47},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284499},
  doi          = {10.1145/1284480.1284499},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ColomboWB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorreaSWC07,
  author       = {Edgard de Faria Corr{\^{e}}a and
                  Leonardo Alves de Paula e Silva and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Fitting the router characteristics in NoCs to meet QoS requirements},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {105--110},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284514},
  doi          = {10.1145/1284480.1284514},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorreaSWC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CortesB07,
  author       = {Fernando da Rocha Paix{\~{a}}o Cortes and
                  Sergio Bampi},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A fully integrated {CMOS} {RF} front-end for a multi-band analog mixed-signal
                  interface},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {271--275},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284554},
  doi          = {10.1145/1284480.1284554},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CortesB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Deen07,
  author       = {M. Jamal Deen},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Highly sensitive, low-cost integrated biosensors},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284482},
  doi          = {10.1145/1284480.1284482},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Deen07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DittmannRW07,
  author       = {Florian Dittmann and
                  Achim Rettberg and
                  Raphael Weber},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Optimization techniques for a reconfigurable, self-timed, and bit-serial
                  architecture},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {153--158},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284526},
  doi          = {10.1145/1284480.1284526},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DittmannRW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DuarteMC07,
  author       = {Jose Marcelo Lima Duarte and
                  Francisco das Chagas Mota and
                  Manoel J. M. Carvalho},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Digital {PM} demodulator for brazilian data collecting system},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {142--146},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284523},
  doi          = {10.1145/1284480.1284523},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DuarteMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Espinosa-DuranVHH07,
  author       = {John M. Espinosa{-}Duran and
                  Jaime Velasco{-}Medina and
                  Gloria Huertas and
                  Jos{\'{e}} Luis Huertas},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Total ionizing dose effects in switched-capacitor filters using oscillation-based
                  test},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {263--266},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284551},
  doi          = {10.1145/1284480.1284551},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Espinosa-DuranVHH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraPB07,
  author       = {Pietro Maris Ferreira and
                  Antonio Petraglia and
                  Fernando Antonio Pinto Bar{\'{u}}qui},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A {CMOS} {AM} demodulator for instrumentation applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {133--136},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284521},
  doi          = {10.1145/1284480.1284521},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraPB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FlachJHR07,
  author       = {Guilherme Flach and
                  Marcelo O. Johann and
                  Renato Fernandes Hentschke and
                  Ricardo Reis},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Cell placement on graphics processing units},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {87--92},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284510},
  doi          = {10.1145/1284480.1284510},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FlachJHR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GinesPR07,
  author       = {Antonio J. Gin{\'{e}}s and
                  Eduardo J. Peral{\'{\i}}as and
                  Adoraci{\'{o}}n Rueda},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Novel swapping technique for background calibration of capacitor mismatching
                  in pipeline {ADCS}},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {21--26},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284494},
  doi          = {10.1145/1284480.1284494},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GinesPR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GiraoOSS07,
  author       = {Gustavo Gir{\~{a}}o and
                  Bruno Cruz de Oliveira and
                  Rodrigo Soares and
                  Ivan Saraiva Silva},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Cache coherency communication cost in a NoC-based MPSoC platform},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {288--293},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284558},
  doi          = {10.1145/1284480.1284558},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GiraoOSS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GuimaraesLTSTK07,
  author       = {Germano Guimar{\~{a}}es and
                  Jo{\~{a}}o Paulo Silva do Monte Lima and
                  Jo{\~{a}}o Marcelo X. N. Teixeira and
                  Guilherme D. Silva and
                  Veronica Teichrieb and
                  Judith Kelner},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {{FPGA} infrastructure for the development of augmented reality applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {336--341},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284568},
  doi          = {10.1145/1284480.1284568},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GuimaraesLTSTK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HaasGP07,
  author       = {Hugo de Lemos Haas and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Antonio Petraglia},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Viability of analog inner product operations in {CMOS} imagers},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {236--240},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284544},
  doi          = {10.1145/1284480.1284544},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HaasGP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HernandezNRN07,
  author       = {Hugo Daniel Hern{\'{a}}ndez and
                  Wilhelmus A. M. Van Noije and
                  Elkim Roa and
                  Jo{\~{a}}o Navarro Jr.},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A small area 8bits 50MHz {CMOS} {DAC} for bluetooth transmitter},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {10--15},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284492},
  doi          = {10.1145/1284480.1284492},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HernandezNRN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/IndrusiakG07,
  author       = {Leandro Soares Indrusiak and
                  Manfred Glesner},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Specification of alternative execution semantics of {UML} sequence
                  diagrams within actor-oriented models},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {330--335},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284567},
  doi          = {10.1145/1284480.1284567},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/IndrusiakG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ismail07,
  author       = {Mohammed Ismail},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {WiMAX: a competing or complementary technology to 3G?},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284484},
  doi          = {10.1145/1284480.1284484},
  timestamp    = {Tue, 24 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ismail07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ismail07a,
  author       = {Mohammed Ismail},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {First-pass-silicon radio IPs for {B3G} wireless networks},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284488},
  doi          = {10.1145/1284480.1284488},
  timestamp    = {Tue, 24 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ismail07a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JayanthiM07,
  author       = {J. V. R. Ravindra and
                  Srinivas Bala Mandalika},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Modeling and analysis of crosstalk for distributed {RLC} interconnects
                  using difference model approach},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {207--211},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284538},
  doi          = {10.1145/1284480.1284538},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JayanthiM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KachrisV07,
  author       = {Christoforos Kachris and
                  Stamatis Vassiliadis},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A reconfigurable platform for multi-service edge routers},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {165--170},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284529},
  doi          = {10.1145/1284480.1284529},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KachrisV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LancioniPTD07,
  author       = {Walter J. Lancioni and
                  Pablo A. Petrashin and
                  Luis E. Toledo and
                  Carlos Dualibe},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A 9.6 kb/s {CMOS} {FSK} modem for data transmission through power
                  lines},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {117--122},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284517},
  doi          = {10.1145/1284480.1284517},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LancioniPTD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LoizosSC07,
  author       = {Dimitrios N. Loizos and
                  Paul{-}Peter Sotiriadis and
                  Gert Cauwenberghs},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {High-speed, model-free adaptive control using parallel synchronous
                  detection},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {224--229},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284542},
  doi          = {10.1145/1284480.1284542},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LoizosSC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LucksO07,
  author       = {Marcio Barbosa Lucks and
                  Nobuo Oki},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Radial basis function network applied to the linearization of a voltage
                  controlled oscillator},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {230--235},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284543},
  doi          = {10.1145/1284480.1284543},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LucksO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MaddiS07,
  author       = {Sudhakar Maddi and
                  M. B. Srinivas},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A unified and reconfigurable Montgomery Multiplier architecture without
                  four-to-two {CSA}},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {147--152},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284525},
  doi          = {10.1145/1284480.1284525},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MaddiS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarconPHBRRAM07,
  author       = {C{\'{e}}sar A. M. Marcon and
                  Jos{\'{e}} Carlos S. Palma and
                  Fabiano Hessel and
                  Eduardo A. Bezerra and
                  Guilherme Rohde and
                  Carlos Eduardo Reif and
                  Luciano Azevedo and
                  Carolina Metzler},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A 915 MHz {UHF} low power {RFID} tag},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {276--281},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284555},
  doi          = {10.1145/1284480.1284555},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarconPHBRRAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarianoBDDB07,
  author       = {A. A. Mariano and
                  B. Boumballa and
                  Dominique Dallet and
                  Yann Deval and
                  Jean{-}Baptiste B{\'{e}}gueret},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {High-speed {CMOS} analog-to-digital converter for front-end receiver
                  applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {27--30},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284495},
  doi          = {10.1145/1284480.1284495},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarianoBDDB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarinsK07,
  author       = {C. N. M. Marins and
                  Luiz C. Kretly},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Minimizing the mismatch errors at the {VCO} and cascode buffer connections
                  in front end of BiCMOS RFICs operating on {S} band},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {267--270},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284553},
  doi          = {10.1145/1284480.1284553},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarinsK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarulandaSS07,
  author       = {Jose M. Marulanda and
                  Ashok Kumar Srivastava and
                  Ashwani K. Sharma},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Transfer characteristics and high frequency modeling of logic gates
                  using carbon nanotube field effect transistors (CNT-FETs)},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {202--206},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284536},
  doi          = {10.1145/1284480.1284536},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarulandaSS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MattosC07,
  author       = {J{\'{u}}lio C. B. de Mattos and
                  Luigi Carro},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Object and method exploration for embedded systems applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {318--323},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284564},
  doi          = {10.1145/1284480.1284564},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MattosC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MichaelsenW07,
  author       = {J{\o}rgen Andreas Michaelsen and
                  Dag T. Wisland},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Suppression of delta-sigma {DAC} quantisation noise by bandwidth adaptation},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {16--20},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284493},
  doi          = {10.1145/1284480.1284493},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MichaelsenW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MirandaNN07,
  author       = {Fernando P. H. de Miranda and
                  Jo{\~{a}}o Navarro Jr. and
                  Wilhelmus A. M. Van Noije},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A 4.1 GHz prescaler using double data throughput {E-TSPC} structures},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {123--127},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284518},
  doi          = {10.1145/1284480.1284518},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MirandaNN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NagyPA07,
  author       = {Agnes Sarolta Nagy and
                  Alicia Polanco and
                  Manuel Alvarez},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Contributions to improve design accuracy of bipolar ics via physical
                  effects},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {245--250},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284547},
  doi          = {10.1145/1284480.1284547},
  timestamp    = {Wed, 15 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NagyPA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NentchevS07,
  author       = {Alexandre Nentchev and
                  Siegfried Selberherr},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Three-dimensional on-chip inductance and resistance extraction},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {218--223},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284540},
  doi          = {10.1145/1284480.1284540},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NentchevS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NetoKW07,
  author       = {Egas Henes Neto and
                  Fernanda Lima Kastensmidt and
                  Gilson I. Wirth},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A built-in current sensor for high speed soft errors detection robust
                  to process and temperature variations},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {190--195},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284534},
  doi          = {10.1145/1284480.1284534},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NetoKW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunezQA07,
  author       = {Juan N{\'{u}}{\~{n}}ez and
                  Jos{\'{e}} M. Quintana and
                  Maria J. Avedillo},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A quasi-differential quantizer based on {SMOBILE}},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {251--256},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284548},
  doi          = {10.1145/1284480.1284548},
  timestamp    = {Wed, 30 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunezQA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraBNW07,
  author       = {Marcio F. da S. Oliveira and
                  Eduardo Wenzel Bri{\~{a}}o and
                  Francisco Assis Moreira do Nascimento and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Model driven engineering for {MPSOC} design space exploration},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {81--86},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284509},
  doi          = {10.1145/1284480.1284509},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraBNW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PereiraOS07,
  author       = {Monica Magalh{\~{a}}es Pereira and
                  Bruno Cruz de Oliveira and
                  Ivan Saraiva Silva},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {RoSA: a reconfigurable stream-based architecture},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {159--164},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284527},
  doi          = {10.1145/1284480.1284527},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PereiraOS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PetroliLKC07,
  author       = {Lorenzo Petroli and
                  Carlos Arthur Lang Lisb{\^{o}}a and
                  Fernanda Lima Kastensmidt and
                  Luigi Carro},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Using majority logic to cope with long duration transient faults},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {354--359},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284572},
  doi          = {10.1145/1284480.1284572},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PetroliLKC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PinckneyH07,
  author       = {Nathaniel Ross Pinckney and
                  David Money Harris},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Parallelized radix-4 scalable montgomery multipliers},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {306--311},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284562},
  doi          = {10.1145/1284480.1284562},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PinckneyH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Prince07,
  author       = {Betty Prince},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Nanotechnology and emerging memories},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284485},
  doi          = {10.1145/1284480.1284485},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Prince07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Prince07a,
  author       = {Betty Prince},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Embedded non-volatile memories},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284490},
  doi          = {10.1145/1284480.1284490},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Prince07a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PriorRMAV07,
  author       = {C{\'{e}}sar Augusto Prior and
                  Cesar Ramos Rodrigues and
                  Jo{\~{a}}o Baptista dos Santos Martins and
                  Andr{\'{e}} Luiz Aita and
                  Filipe Costa Beber Vieira},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Design of an integrated low power high {CMRR} instrumentation amplifier
                  for biomedical applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {71--75},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284506},
  doi          = {10.1145/1284480.1284506},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PriorRMAV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PumaricaHC07,
  author       = {Julio Salda{\~{n}}a Pumarica and
                  Em{\'{\i}}lio Del Moral Hernandez and
                  Carlos Silva C{\'{a}}rdenas},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {{CMOS} encoder for scale-independent pattern recognition},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {241--244},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284545},
  doi          = {10.1145/1284480.1284545},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PumaricaHC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RameshRIS07,
  author       = {Chithrupa Ramesh and
                  Ana Rusu and
                  Mohammed Ismail and
                  Mikael Skoglund},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {TrACS: transceiver architecture and wireless channel simulator},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {128--132},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284519},
  doi          = {10.1145/1284480.1284519},
  timestamp    = {Mon, 23 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RameshRIS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamosCP07,
  author       = {Filipe G. Ramos and
                  Laercio Caldeira and
                  Tales Cleber Pimenta},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A programmable voltage reference optimized for power management applications},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {36--41},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284498},
  doi          = {10.1145/1284480.1284498},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamosCP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaRRMS07,
  author       = {Leomar S. da Rosa Jr. and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas and
                  Felipe de Souza Marques and
                  Felipe Ribeiro Schneider},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A comparative study of {CMOS} gates with minimum transistor stacks},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {93--98},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284511},
  doi          = {10.1145/1284480.1284511},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaRRMS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RuizMP07,
  author       = {Daniel N. Ruiz and
                  Robson L. Moreno and
                  Tales Cleber Pimenta},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Design of a class {D} amplifier for hearing aid devices},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {76--80},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284507},
  doi          = {10.1145/1284480.1284507},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RuizMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SainarayananRS07,
  author       = {K. S. Sainarayanan and
                  Chittarsu Raghunandan and
                  M. B. Srinivas},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Bus encoding schemes for minimizing delay in {VLSI} interconnects},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {184--189},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284533},
  doi          = {10.1145/1284480.1284533},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SainarayananRS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Sakurai07,
  author       = {Takayasu Sakurai},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Meeting with the forthcoming {IC} design},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284483},
  doi          = {10.1145/1284480.1284483},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Sakurai07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SeixasBSNKSBL07,
  author       = {Jordana L. Seixas and
                  Edson Barbosa and
                  Stelita M. da Silva and
                  Paulo S{\'{e}}rgio B. do Nascimento and
                  Vin{\'{\i}}cius Kursancew and
                  Remy Eskinazi Sant'Anna and
                  Edna Barros and
                  Manoel Eus{\'{e}}bio de Lima},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Aquarius: a dynamically reconfigurable computing platform},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {171--176},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284530},
  doi          = {10.1145/1284480.1284530},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SeixasBSNKSBL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilveiraSM07,
  author       = {George Sobral Silveira and
                  Karina R. G. da Silva and
                  Elmar U. K. Melcher},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Functional verification of an {MPEG-4} decoder design using a random
                  constrained movie generator},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {360--364},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284573},
  doi          = {10.1145/1284480.1284573},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilveiraSM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresP07,
  author       = {Carlos Fernando Teod{\'{o}}sio Soares and
                  Antonio Petraglia},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A systematic method to approximate capacitance ratios to improve capacitance
                  matching in {SC} filters},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {59--64},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284503},
  doi          = {10.1145/1284480.1284503},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SpechtRCLCW07,
  author       = {Emilena Specht and
                  Ricardo Miotto Redin and
                  Luigi Carro and
                  Lu{\'{\i}}s da Cunha Lamb and
                  {\'{E}}rika F. Cota and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Analysis of the use of declarative languages for enhanced embedded
                  system software development},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {324--329},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284565},
  doi          = {10.1145/1284480.1284565},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SpechtRCLCW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TavaresMSO07,
  author       = {Eduardo Tavares and
                  Paulo Romero Martins Maciel and
                  Bruno Silva and
                  Meuse N. Oliveira Jr.},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {A time petri net-based approach for hard real-time systems scheduling
                  considering dynamic voltage scaling, overheads, precedence and exclusion
                  relations},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {312--317},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284563},
  doi          = {10.1145/1284480.1284563},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TavaresMSO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoMC07,
  author       = {Leonel Tedesco and
                  Fernando Moraes and
                  Ney Calazans},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Buffer sizing for QoS flows in wormhole packet switching NoCs},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {99--104},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284513},
  doi          = {10.1145/1284480.1284513},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VieiraPRPM07,
  author       = {Filipe Costa Beber Vieira and
                  C{\'{e}}sar Augusto Prior and
                  Cesar Ramos Rodrigues and
                  Leonardo Perin and
                  Jo{\~{a}}o Baptista dos Santos Martins},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Current mode instrumentation amplifier with rail-to-rail input and
                  output},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {48--52},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284501},
  doi          = {10.1145/1284480.1284501},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/VieiraPRPM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Youssef07,
  author       = {Ahmed A. Youssef},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {{RF} architectures in {CMOS} for the emerging wireless technologies:
                  challenges and opportunities},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284487},
  doi          = {10.1145/1284480.1284487},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Youssef07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZhouP07,
  author       = {Xiangrong Zhou and
                  Peter Petrov},
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {The interval page table: virtual memory support in real-time and memory-constrained
                  embedded systems},
  booktitle    = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  pages        = {294--299},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1284480.1284559},
  doi          = {10.1145/1284480.1284559},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZhouP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2007,
  editor       = {Antonio Petraglia and
                  Volnei A. Pedroni and
                  Gert Cauwenberghs},
  title        = {Proceedings of the 20th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil,
                  September 3-6, 2007},
  publisher    = {{ACM}},
  year         = {2007},
  isbn         = {978-1-59593-816-9},
  timestamp    = {Thu, 04 Sep 2008 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AguirreS06,
  author       = {Pablo Aguirre and
                  Fernando Silveira},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Bias circuit design for low-voltage cascode transistors},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {94--97},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150372},
  doi          = {10.1145/1150343.1150372},
  timestamp    = {Fri, 19 Jul 2019 13:02:47 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AguirreS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Austin06,
  author       = {Todd M. Austin},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Robust low power computing in the nanoscale era},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150352},
  doi          = {10.1145/1150343.1150352},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Austin06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Austin06a,
  author       = {Todd M. Austin},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Razor: a low-power pipeline based on circuit-level timing speculation},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {13},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150348},
  doi          = {10.1145/1150343.1150348},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Austin06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ayala-RinconS06,
  author       = {Mauricio Ayala{-}Rinc{\'{o}}n and
                  Thomas Mailleux Santana},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {{SAEPTUM:} verification of \emph{ELAN} hardware specifications using
                  the proof assistant \emph{PVS}},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {125--130},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150379},
  doi          = {10.1145/1150343.1150379},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ayala-RinconS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BastosKR06,
  author       = {Rodrigo Possamai Bastos and
                  Fernanda Lima Kastensmidt and
                  Ricardo Reis},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Design at high level of a robust 8-bit microprocessor to soft errors
                  by using only standard gates},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {196--201},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150394},
  doi          = {10.1145/1150343.1150394},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BastosKR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckRC06,
  author       = {Antonio Carlos Schneider Beck and
                  Mateus B. Rutzig and
                  Luigi Carro},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Cache performance impacts for stack machines in embedded systems},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {155--160},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150385},
  doi          = {10.1145/1150343.1150385},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckRC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckerH06,
  author       = {J{\"{u}}rgen Becker and
                  Michael H{\"{u}}bner},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Run-time reconfigurabilility and other future trends},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {9--11},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150346},
  doi          = {10.1145/1150343.1150346},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckerH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Bertacco06,
  author       = {Valeria Bertacco},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Formal verification for real-world designs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150351},
  doi          = {10.1145/1150343.1150351},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Bertacco06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Bertacco06a,
  author       = {Valeria Bertacco},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Low maintenance verification},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {12},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150347},
  doi          = {10.1145/1150343.1150347},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Bertacco06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BonomiBTL06,
  author       = {Daniele Bonomi and
                  Giorgio Boselli and
                  Gabriella Trucco and
                  Valentino Liberali},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Effects of digital switching noise on analog voltage references in
                  mixed-signal {CMOS} ICs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {226--231},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150400},
  doi          = {10.1145/1150343.1150400},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BonomiBTL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CortesFB06,
  author       = {Fernando da Rocha Paix{\~{a}}o Cortes and
                  Eric E. Fabris and
                  Sergio Bampi},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A band-pass Gm-C Filter design based on gm/ID methodology and characterization},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {232--237},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150401},
  doi          = {10.1145/1150343.1150401},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CortesFB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaFM06,
  author       = {Eduardo A. C. da Costa and
                  Paulo F. Flores and
                  Jos{\'{e}} Monteiro},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Exploiting general coefficient representation for the optimal sharing
                  of partial products in MCMs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {161--166},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150387},
  doi          = {10.1145/1150343.1150387},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaFM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CunhaN06,
  author       = {Ana Isabela Ara{\'{u}}jo Cunha and
                  Ali M. Niknejad},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A general domain {CMOS} companding integrator},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {108--112},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150375},
  doi          = {10.1145/1150343.1150375},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CunhaN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DaneshtalabKSNMF06,
  author       = {Masoud Daneshtalab and
                  Ali Afzali{-}Kusha and
                  Ashkan Sobhani and
                  Zainalabedin Navabi and
                  Mohammad D. Mottaghi and
                  Omid Fatemi},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Ant colony based routing architecture for minimizing hot spots in
                  NOCs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {56--61},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150363},
  doi          = {10.1145/1150343.1150363},
  timestamp    = {Mon, 15 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DaneshtalabKSNMF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DeharbeM06,
  author       = {David D{\'{e}}harbe and
                  S{\'{e}}rgio Medeiros},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Aspect-oriented design in systemC: implementation and applications},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {119--124},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150378},
  doi          = {10.1145/1150343.1150378},
  timestamp    = {Wed, 24 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DeharbeM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraGKMHM06,
  author       = {Victor M. Goulart Ferreira and
                  Lovic Gauthier and
                  Takayuki Kando and
                  Takuma Matsuo and
                  Toshihiko Hashinaga and
                  Kazuaki J. Murakami},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {{REDEFIS:} a system with a redefinable instruction set processor},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {14--19},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150354},
  doi          = {10.1145/1150343.1150354},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraGKMHM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraPMN06,
  author       = {Luis Henrique de Carvalho Ferreira and
                  Tales Cleber Pimenta and
                  Robson L. Moreno and
                  Wilhelmus A. M. Van Noije},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Ultra low-voltage ultra low-power {CMOS} threshold voltage reference},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {80--82},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150368},
  doi          = {10.1145/1150343.1150368},
  timestamp    = {Fri, 25 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraPMN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FidalgoGAF06,
  author       = {Andr{\'{e}} V. Fidalgo and
                  Manuel G. Gericota and
                  Gustavo R. Alves and
                  Jos{\'{e}} M. Ferreira},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Using {NEXUS} compliant debuggers for real time fault injection on
                  microprocessors},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {214--219},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150397},
  doi          = {10.1145/1150343.1150397},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FidalgoGAF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FrantzKCC06,
  author       = {Arthur Pereira Frantz and
                  Fernanda Lima Kastensmidt and
                  Luigi Carro and
                  {\'{E}}rika F. Cota},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Evaluation of {SEU} and crosstalk effects in network-on-chip switches},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {202--207},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150395},
  doi          = {10.1145/1150343.1150395},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FrantzKCC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GiefersR06,
  author       = {Heiner Giefers and
                  Achim Rettberg},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Energy aware multiple clock domain scheduling for a bit-serial, self-timed
                  architecture},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {113--118},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150377},
  doi          = {10.1145/1150343.1150377},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GiefersR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GirardiB06,
  author       = {Alessandro Girardi and
                  Sergio Bampi},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Power constrained design optimization of analog circuits based on
                  physical gm/ID characteristics},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {89--93},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150370},
  doi          = {10.1145/1150343.1150370},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GirardiB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HaddadS06,
  author       = {Sandro A. P. Haddad and
                  Wouter A. Serdijn},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {An ultra low-power class-AB sinh integrator},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {74--79},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150367},
  doi          = {10.1145/1150343.1150367},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HaddadS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Hartenstein06,
  author       = {Reiner W. Hartenstein},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {The re-definition of low power design for {HPC:} a paradigm shift},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150344},
  doi          = {10.1145/1150343.1150344},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Hartenstein06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HentschkeFPR06,
  author       = {Renato Fernandes Hentschke and
                  Guilherme Flach and
                  Felipe Pinto and
                  Ricardo Reis},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Quadratic placement for 3d circuits using z-cell shifting, 3d iterative
                  refinement and simulated annealing},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {220--225},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150399},
  doi          = {10.1145/1150343.1150399},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HentschkeFPR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HubnerB06,
  author       = {Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Exploiting dynamic and partial reconfiguration for FPGAs: toolflow,
                  architecture and system integration},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {1--4},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150350},
  doi          = {10.1145/1150343.1150350},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HubnerB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KlimachSG06,
  author       = {Hamilton Klimach and
                  M{\'{a}}rcio C. Schneider and
                  Carlos Galup{-}Montoro},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A test chip for automatic {MOSFET} mismatch characterization},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {83--88},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150369},
  doi          = {10.1145/1150343.1150369},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KlimachSG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KrugML06,
  author       = {Margrit R. Krug and
                  Marcelo de Souza Moraes and
                  Marcelo Lubaszewski},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Using a software testing technique to identify registers for partial
                  scan implementation},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {208--213},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150396},
  doi          = {10.1145/1150343.1150396},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KrugML06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LacerdaPO06,
  author       = {Fabio Lacerda and
                  Stefano Pietri and
                  Alfredo Olmos},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A differential switched-capacitor amplifier with programmable gain
                  and output offset voltage},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {98--102},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150373},
  doi          = {10.1145/1150343.1150373},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LacerdaPO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lagos06,
  author       = {Andr{\`{e}}s E. Lagos},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {High performance silicon {MEMS} for niche market applications},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150345},
  doi          = {10.1145/1150343.1150345},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lagos06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarianoDDB06,
  author       = {A. A. Mariano and
                  Dominique Dallet and
                  Yann Deval and
                  Jean{-}Baptiste B{\'{e}}gueret},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {4GHz continuous-time bandpass delta-sigma modulator for directly high
                  {IF} {A/D} conversion},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {103--107},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150374},
  doi          = {10.1145/1150343.1150374},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarianoDDB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MochoSRR06,
  author       = {R. U. R. Mocho and
                  G. H. Sartori and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Asynchronous circuit design on reconfigurable devices},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {20--25},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150355},
  doi          = {10.1145/1150343.1150355},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MochoSRR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MollerSCGCM06,
  author       = {Leandro M{\"{o}}ller and
                  Rafael Soares and
                  Ewerson Carvalho and
                  Ismael Grehs and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Infrastructure for dynamic reconfigurable systems: choices and trade-offs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {44--49},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150360},
  doi          = {10.1145/1150343.1150360},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MollerSCGCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoratelliCL06,
  author       = {Carlos Roberto Moratelli and
                  {\'{E}}rika F. Cota and
                  Marcelo Lubaszewski},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A cryptography core tolerant to {DFA} fault attacks},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {190--195},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150393},
  doi          = {10.1145/1150343.1150393},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoratelliCL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MottaghiAN06,
  author       = {Mohammad D. Mottaghi and
                  Ali Afzali{-}Kusha and
                  Zainalabedin Navabi},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {ByZFAD: a low switching activity architecture for shift-and-add multipliers},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {179--183},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150390},
  doi          = {10.1145/1150343.1150390},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MottaghiAN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MunozLAEA06,
  author       = {Daniel M. Mu{\~{n}}oz and
                  Carlos H. Llanos and
                  Mauricio Ayala{-}Rinc{\'{o}}n and
                  Rudi H. van Els and
                  Renato P. Almeida},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Implementation of dispatching algorithms for elevator systems using
                  reconfigurable architectures},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {32--37},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150357},
  doi          = {10.1145/1150343.1150357},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MunozLAEA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoLSS06,
  author       = {Paulo S{\'{e}}rgio B. do Nascimento and
                  Manoel Eus{\'{e}}bio de Lima and
                  Stelita M. da Silva and
                  Jordana L. Seixas},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Mapping of image processing systems to {FPGA} computer based on temporal
                  partitioning and design space exploration},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {50--55},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150361},
  doi          = {10.1145/1150343.1150361},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoLSS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoOWPW06,
  author       = {Francisco Assis Moreira do Nascimento and
                  Marcio F. da S. Oliveira and
                  Marco A. Wehrmeister and
                  Carlos Eduardo Pereira and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {MDA-based approach for embedded software generation from a {UML/MOF}
                  repository},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {143--148},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150383},
  doi          = {10.1145/1150343.1150383},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoOWPW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraPFMS06,
  author       = {Jozias Oliveira and
                  Andr{\'{e}} Printes and
                  R. C. S. Freire and
                  Elmar U. K. Melcher and
                  Ivan S. S. Silva},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {{FPGA} architecture for static background subtraction in real time},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {26--31},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150356},
  doi          = {10.1145/1150343.1150356},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraPFMS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PaulssonHB06,
  author       = {Katarina Paulsson and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {On-line optimization of {FPGA} power-dissipation by exploiting run-time
                  adaption of communication primitives},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {173--178},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150389},
  doi          = {10.1145/1150343.1150389},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PaulssonHB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaMCRSR06,
  author       = {Leomar S. da Rosa Jr. and
                  Felipe S. Marques and
                  Tiago Muller Gil Cardoso and
                  Renato P. Ribas and
                  Sachin S. Sapatnekar and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Fast disjoint transistor networks from BDDs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {137--142},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150381},
  doi          = {10.1145/1150343.1150381},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaMCRSR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaBC06,
  author       = {Ricardo C. Goncalves da Silva and
                  Henri Boudinov and
                  Luigi Carro},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A cell library for low power high performance {CMOS} voltage-mode
                  quaternary logic},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150388},
  doi          = {10.1145/1150343.1150388},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaBC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaWFP06,
  author       = {Elias Teodoro Silva Jr. and
                  Fl{\'{a}}vio Rech Wagner and
                  Edison Pignaton de Freitas and
                  Carlos Eduardo Pereira},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Hardware support in a middleware for distributed and real-time embedded
                  applications},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150384},
  doi          = {10.1145/1150343.1150384},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaWFP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/StreichertSHT06,
  author       = {Thilo Streichert and
                  Christian Strengert and
                  Christian Haubelt and
                  J{\"{u}}rgen Teich},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Dynamic task binding for hardware/software reconfigurable networks},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {38--43},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150359},
  doi          = {10.1145/1150343.1150359},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/StreichertSHT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoMGCM06,
  author       = {Leonel Tedesco and
                  Aline Mello and
                  Leonardo Giacomet and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Application driven traffic modeling for NoCs},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {62--67},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150364},
  doi          = {10.1145/1150343.1150364},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoMGCM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VestiasN06,
  author       = {M{\'{a}}rio P. V{\'{e}}stias and
                  Hor{\'{a}}cio C. Neto},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Area and performance optimization of a generic network-on-chip architecture},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {68--73},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150365},
  doi          = {10.1145/1150343.1150365},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VestiasN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WirthRVK06,
  author       = {Gilson I. Wirth and
                  Ivandro Ribeiro and
                  Michele G. Vieira and
                  Fernanda Gusm{\~{a}}o de Lima Kastensmidt},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Single event transients in dynamic logic},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {184--189},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150392},
  doi          = {10.1145/1150343.1150392},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WirthRVK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZuimSC06,
  author       = {Romanelli Lodron Zuim and
                  Jos{\'{e}} T. de Sousa and
                  Claudionor Jos{\'{e}} Nunes Coelho Jr.},
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {A fast {SAT} solver algorithm best suited to reconfigurable hardware},
  booktitle    = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  pages        = {131--136},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1150343.1150380},
  doi          = {10.1145/1150343.1150380},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZuimSC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2006,
  editor       = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Ricardo P. Jacobi and
                  J{\"{u}}rgen Becker},
  title        = {Proceedings of the 19th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 -
                  September 1, 2006},
  publisher    = {{ACM}},
  year         = {2006},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AguirreL05,
  author       = {Mariano Aguirre and
                  M{\'{o}}nico Linares Aranda},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {An alternative logic approach to implement high-speed low-power full
                  adder cells},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {166--171},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081125},
  doi          = {10.1145/1081081.1081125},
  timestamp    = {Fri, 03 Jun 2022 10:52:19 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AguirreL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArguelloNN05,
  author       = {Angel M. G{\'{o}}mez Arg{\"{u}}ello and
                  Jo{\~{a}}o Navarro Jr. and
                  Wilhelmus A. M. Van Noije},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {A 3.5 mW programmable high speed frequency divider for a 2.4 GHz {CMOS}
                  frequency synthesizer},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {144--148},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081120},
  doi          = {10.1145/1081081.1081120},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArguelloNN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Arnaud05,
  author       = {Alfredo Arnaud},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {An efficient chopper amplifier, using a switched Gm-C Filter technique},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {213--218},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081135},
  doi          = {10.1145/1081081.1081135},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Arnaud05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArnaudFG05,
  author       = {Alfredo Arnaud and
                  Rafaella Fiorelli and
                  Carlos Galup{-}Montoro},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {On the design of very small transconductance OTAs with reduced input
                  offset},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {15--20},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081093},
  doi          = {10.1145/1081081.1081093},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArnaudFG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarboniF05,
  author       = {Leonardo Barboni and
                  Rafaella Fiorelli},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Design and power optimization of {CMOS} {RF} blocks operating in the
                  moderate inversion region},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {127--132},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081117},
  doi          = {10.1145/1081081.1081117},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarboniF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckGC05,
  author       = {Antonio Carlos Schneider Beck and
                  Victor F. Gomes and
                  Luigi Carro},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Exploiting Java through binary translation for low power embedded
                  reconfigurable systems},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {92--97},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081109},
  doi          = {10.1145/1081081.1081109},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckGC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Benini05,
  author       = {Luca Benini},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Advanced power management of SoC platforms},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081082},
  doi          = {10.1145/1081081.1081082},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Benini05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Benini05a,
  author       = {Luca Benini},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Energy efficient NoC design},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081087},
  doi          = {10.1145/1081081.1081087},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Benini05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BilloAACN05,
  author       = {Eduardo Afonso Billo and
                  Rodolfo Azevedo and
                  Guido Araujo and
                  Paulo Centoducatte and
                  Eduardo Braulio Wanderley Netto},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Design of a decompressor engine on a {SPARC} processor},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {110--114},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081113},
  doi          = {10.1145/1081081.1081113},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BilloAACN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Choi05,
  author       = {Jung Hyun Choi},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Minimization of parasitic effects on the design of an accurate 2-MHz
                  {RC} oscillator for low voltage and low power applications},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {219--223},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081136},
  doi          = {10.1145/1081081.1081136},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Choi05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DittmannH05,
  author       = {Florian Dittmann and
                  Markus Heberling},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Placement of intermodule connections on partially reconfigurable devices},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {236--241},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081140},
  doi          = {10.1145/1081081.1081140},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DittmannH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DualibePTL05,
  author       = {Carlos Dualibe and
                  Pablo A. Petrashin and
                  Luis E. Toledo and
                  Walter J. Lancioni},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {New low-voltage electrically tunable triode-MOSFET transconductor
                  and its application to low-frequency Gm-C filtering},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {207--212},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081134},
  doi          = {10.1145/1081081.1081134},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DualibePTL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DutraIG05,
  author       = {{\'{E}}lvio Dutra and
                  Leandro Soares Indrusiak and
                  Manfred Glesner},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Non-linear addressing scheme for a lookup-based transformation function
                  in a reconfigurable noise generator},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {242--247},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081141},
  doi          = {10.1145/1081081.1081141},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DutraIG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FonsecaCBM05,
  author       = {Mateus Fonseca and
                  Eduardo A. C. da Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Design of a radix-2\({}^{\mbox{m}}\) hybrid array multiplier using
                  carry save adder format},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {172--177},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081126},
  doi          = {10.1145/1081081.1081126},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FonsecaCBM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GaglioloPC05,
  author       = {Sergio Gagliolo and
                  Giacomo Pruzzo and
                  Daniele D. Caviglia},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Phase noise performances of a cross-coupled {CMOS} {VCO} with resistor
                  tail biasing},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {149--153},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081121},
  doi          = {10.1145/1081081.1081121},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GaglioloPC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Galup-MontoroSP05,
  author       = {Carlos Galup{-}Montoro and
                  M{\'{a}}rcio C. Schneider and
                  Viriato C. Pahim},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Fundamentals of next generation compact {MOSFET} models},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {32--37},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081096},
  doi          = {10.1145/1081081.1081096},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Galup-MontoroSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GirardiCCB05,
  author       = {Alessandro Girardi and
                  Fernando da Rocha Paix{\~{a}}o Cortes and
                  Eduardo Conrad Jr. and
                  Sergio Bampi},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {T-shaped association of transistors: modeling of multiple channel
                  lengths and regular associations},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {21--26},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081094},
  doi          = {10.1145/1081081.1081094},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GirardiCCB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GomesSJN05,
  author       = {Armando Gomes and
                  Edevaldo Pereira S. J{\'{u}}nior and
                  Ivan Carlos Ribeiro do Nascimento},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {{EMC-EMI} optimized high speed {CAN} line driver},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {9--14},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081091},
  doi          = {10.1145/1081081.1081091},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GomesSJN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HentschkeNK05,
  author       = {Renato Fernandes Hentschke and
                  Jagannathan Narasimhan and
                  David S. Kung},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Improving run times by pruned application of synthesis transforms},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {38--43},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081098},
  doi          = {10.1145/1081081.1081098},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/HentschkeNK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Jespers05,
  author       = {Paul L. Jespers},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {A design methodology for analogue {CMOS} circuits},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081083},
  doi          = {10.1145/1081081.1081083},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Jespers05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Jespers05a,
  author       = {Paul L. Jespers},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {A survey of multistep {A} to {D} converters and error correction mechanisms},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081088},
  doi          = {10.1145/1081081.1081088},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Jespers05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KnerrHR05,
  author       = {Bastian Knerr and
                  Martin Holzer and
                  Markus Rupp},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Task sheduling for power optimisation of multi frequency synchronous
                  data flow graphs},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {50--55},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081100},
  doi          = {10.1145/1081081.1081100},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KnerrHR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KreutzMCWS05,
  author       = {M{\'{a}}rcio Eduardo Kreutz and
                  C{\'{e}}sar A. M. Marcon and
                  Luigi Carro and
                  Fl{\'{a}}vio Rech Wagner and
                  Altamiro Amadeu Susin},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Design space exploration comparing homogeneous and heterogeneous network-on-chip
                  architectures},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {190--195},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081130},
  doi          = {10.1145/1081081.1081130},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KreutzMCWS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LisboaSC05,
  author       = {Carlos Arthur Lang Lisb{\^{o}}a and
                  Erik Sch{\"{u}}ler and
                  Luigi Carro},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Going beyond {TMR} for protection against multiple faults},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {80--85},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081106},
  doi          = {10.1145/1081081.1081106},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LisboaSC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MattosSNC05,
  author       = {J{\'{u}}lio C. B. de Mattos and
                  Emilena Specht and
                  Bruno Neves and
                  Luigi Carro},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Making object oriented efficient for embedded system applications},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {104--109},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081111},
  doi          = {10.1145/1081081.1081111},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MattosSNC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MelloTCM05,
  author       = {Aline Mello and
                  Leonel Tedesco and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Virtual channels in networks on chip: implementation and evaluation
                  on hermes NoC},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {178--183},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081128},
  doi          = {10.1145/1081081.1081128},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MelloTCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Mercha05,
  author       = {Abdelkarim Mercha},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Technology and architecture for deep submicron {RF} {CMOS} technology},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081085},
  doi          = {10.1145/1081081.1081085},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Mercha05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MesquitaTTSCRM05,
  author       = {Daniel Mesquita and
                  Jean{-}Denis Techer and
                  Lionel Torres and
                  Gilles Sassatelli and
                  Gaston Cambon and
                  Michel Robert and
                  Fernando Moraes},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Current mask generation: a transistor level security against {DPA}
                  attacks},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {115--120},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081114},
  doi          = {10.1145/1081081.1081114},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MesquitaTTSCRM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoraesCCWL05,
  author       = {Marcelo de Souza Moraes and
                  {\'{E}}rika F. Cota and
                  Luigi Carro and
                  Fl{\'{a}}vio Rech Wagner and
                  Marcelo Lubaszewski},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {A constraint-based solution for on-line testing of processors embedded
                  in real-time applications},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {68--73},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081104},
  doi          = {10.1145/1081081.1081104},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoraesCCWL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraKJB05,
  author       = {C. P. Moreira and
                  Eric Kerherve and
                  Pierre Jarry and
                  Didier Belot},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Dual-standard BiCMOS {LNA} for {DCS1800/W-CDMA} applications},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {133--137},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081118},
  doi          = {10.1145/1081081.1081118},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraKJB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraSKPJBA05,
  author       = {C. P. Moreira and
                  Alexandre A. Shirakawa and
                  Eric Kerherve and
                  Jean{-}Marie Pham and
                  Pierre Jarry and
                  Didier Belot and
                  Pascal Ancey},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Design of a fully-integrated BiCMOS/FBAR reconfigurable {RF} receiver
                  front-end},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {138--143},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081119},
  doi          = {10.1145/1081081.1081119},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraSKPJBA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NetoRVWK05,
  author       = {Egas Henes Neto and
                  Ivandro Ribeiro and
                  Michele G. Vieira and
                  Gilson I. Wirth and
                  Fernanda Lima Kastensmidt},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Evaluating fault coverage of bulk built-in current sensor for soft
                  errors in combinational and sequential logic},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {62--67},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081103},
  doi          = {10.1145/1081081.1081103},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NetoRVWK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraSC05,
  author       = {Duarte Lopes de Oliveira and
                  Marius Strum and
                  Jiang Chau Wang},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Miri{\~{a}}{\_}SI: a tool for the synthesis of speed-independent multi
                  burst-mode controllers},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {56--61},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081101},
  doi          = {10.1145/1081081.1081101},
  timestamp    = {Fri, 04 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraSC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalmaMMCRS05,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes and
                  Ney Laert Vilar Calazans and
                  Ricardo A. L. Reis and
                  Altamiro Amadeu Susin},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Mapping embedded systems onto NoCs: the traffic effect on dynamic
                  energy estimation},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {196--201},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081131},
  doi          = {10.1145/1081081.1081131},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalmaMMCRS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PandeyGM05,
  author       = {Sujan Pandey and
                  Manfred Glesner and
                  Max M{\"{u}}hlh{\"{a}}user},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Performance aware on-chip communication synthesis and optimization
                  for shared multi-bus based architecture},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {230--235},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081139},
  doi          = {10.1145/1081081.1081139},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PandeyGM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PulliniABB05,
  author       = {Antonio Pullini and
                  Federico Angiolini and
                  Davide Bertozzi and
                  Luca Benini},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Fault tolerance overhead in network-on-chip flow control schemes},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {224--229},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081138},
  doi          = {10.1145/1081081.1081138},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PulliniABB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RossiA05,
  author       = {Conrado Rossi and
                  Pablo Aguirre},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Ultra-low power {CMOS} cells for temperature sensors},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {202--206},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081133},
  doi          = {10.1145/1081081.1081133},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RossiA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Rubanov05,
  author       = {Nikolay Rubanov},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {An efficient subcircuit recognition using the nonlinear graph matching},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {44--49},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081099},
  doi          = {10.1145/1081081.1081099},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Rubanov05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezRSV05,
  author       = {Ernesto S{\'{a}}nchez and
                  Matteo Sonza Reorda and
                  Giovanni Squillero and
                  Massimo Violante},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Automatic generation of test sets for {SBST} of microprocessor {IP}
                  cores},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {74--79},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081105},
  doi          = {10.1145/1081081.1081105},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezRSV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Sanguinetti05,
  author       = {John Sanguinetti},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {The process of higher level design},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081084},
  doi          = {10.1145/1081081.1081084},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Sanguinetti05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Sanguinetti05a,
  author       = {John Sanguinetti},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {High level design: the future is now},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081086},
  doi          = {10.1145/1081081.1081086},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Sanguinetti05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantanaFDC05,
  author       = {Edson P. Santana and
                  N. R. Ferreira and
                  Carlos Eduardo Trabuco D{\'{o}}rea and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {On the adequate transistor modeling for optimal design of {CMOS} {OTA}},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {27--31},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081095},
  doi          = {10.1145/1081081.1081095},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantanaFDC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SillGT05,
  author       = {Frank Sill and
                  Frank Grassert and
                  Dirk Timmermann},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Total leakage power optimization with improved mixed gates},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {154--159},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081123},
  doi          = {10.1145/1081081.1081123},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SillGT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Silva05,
  author       = {Armando G. da Silva Jr.},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {{IC} design requirements for automotive applications},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081089},
  doi          = {10.1145/1081081.1081089},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Silva05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TavaresMBBBJL05,
  author       = {Eduardo Tavares and
                  Paulo Romero Martins Maciel and
                  Arthur Bessa and
                  Raimundo S. Barreto and
                  Leonardo Barros and
                  Meuse N. Oliveira Jr. and
                  Ricardo Massa Ferreira Lima},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {A time petri net based approach for embedded hard real-time software
                  synthesis with multiple operational modes},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {98--103},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081110},
  doi          = {10.1145/1081081.1081110},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/TavaresMBBBJL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoMGCM05,
  author       = {Leonel Tedesco and
                  Aline Mello and
                  Diego Garibotti and
                  Ney Calazans and
                  Fernando Moraes},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Traffic generation and performance evaluation for mesh-based NoCs},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {184--189},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081129},
  doi          = {10.1145/1081081.1081129},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoMGCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VargheseR05,
  author       = {David Varghese and
                  J. Neil Ross},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {A continuous-time hierarchical field programmable analogue array for
                  rapid prototyping and hierarchical approach to analogue systems design},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {248--253},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081142},
  doi          = {10.1145/1081081.1081142},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VargheseR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Wei05,
  author       = {Shugang Wei},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Number conversions between {RNS} and mixed-radix number system based
                  on Modulo (2\({}^{\mbox{p - 1}}\)) signed-digit arithmetic},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {160--165},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081124},
  doi          = {10.1145/1081081.1081124},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Wei05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WirthVNK05,
  author       = {Gilson I. Wirth and
                  Michele G. Vieira and
                  Egas Henes Neto and
                  Fernanda Gusm{\~{a}}o de Lima Kastensmidt},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Single event transients in combinatorial circuits},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {121--126},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081115},
  doi          = {10.1145/1081081.1081115},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/WirthVNK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZhouP05,
  author       = {Xiangrong Zhou and
                  Peter Petrov},
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Arithmetic-based address translation for energy-efficient virtual
                  memory support in low-power, real-time embedded systems},
  booktitle    = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  pages        = {86--91},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081.1081108},
  doi          = {10.1145/1081081.1081108},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZhouP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2005,
  editor       = {Carlos Galup{-}Montoro and
                  Sergio Bampi and
                  Alex Orailoglu},
  title        = {Proceedings of the 18th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7,
                  2005},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1081081},
  doi          = {10.1145/1081081},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AhmadiniaBKMT04,
  author       = {Ali Ahmadinia and
                  Christophe Bobda and
                  Dirk Koch and
                  Mateusz Majer and
                  J{\"{u}}rgen Teich},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Task scheduling for heterogeneous reconfigurable computers},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {22--27},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016582},
  doi          = {10.1145/1016568.1016582},
  timestamp    = {Fri, 03 Jun 2022 10:53:26 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AhmadiniaBKMT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AmoryCLM04,
  author       = {Alexandre M. Amory and
                  {\'{E}}rika F. Cota and
                  Marcelo Lubaszewski and
                  Fernando Gehm Moraes},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Reducing test time with processor reuse in network-on-chip based systems},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016602},
  doi          = {10.1145/1016568.1016602},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AmoryCLM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AndradeCL04,
  author       = {Antonio Andrade Jr. and
                  {\'{E}}rika F. Cota and
                  Marcelo Lubaszewski},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Improving mixed-signal {SOC} testing: a power-aware reuse-based approach
                  with analog {BIST}},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {105--110},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016601},
  doi          = {10.1145/1016568.1016601},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AndradeCL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArnaudG04,
  author       = {Alfredo Arnaud and
                  Carlos Galup{-}Montoro},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A fully integrated physical activity sensing circuit for implantable
                  pacemakers},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {151--156},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016612},
  doi          = {10.1145/1016568.1016612},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArnaudG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ayala-RinconJCLH04,
  author       = {Mauricio Ayala{-}Rinc{\'{o}}n and
                  Ricardo P. Jacobi and
                  Luis Gustavo A. Carvalho and
                  Carlos H. Llanos and
                  Reiner W. Hartenstein},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Modeling and prototyping dynamically reconfigurable systems for efficient
                  computation of dynamic programming methods by rewriting-logic},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {248--253},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016634},
  doi          = {10.1145/1016568.1016634},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ayala-RinconJCLH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BaronciniG04,
  author       = {Virg{\'{\i}}nia Helena Varotto Baroncini and
                  Oscar da Costa Gouveia{-}Filho},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Design of {RF} {CMOS} low noise amplifiers using a current based {MOSFET}
                  model},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {82--87},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016596},
  doi          = {10.1145/1016568.1016596},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BaronciniG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarretoNOMTL04,
  author       = {Raimundo S. Barreto and
                  Mar{\'{\i}}lia Neves and
                  Meuse N. Oliveira Jr. and
                  Paulo Romero Martins Maciel and
                  Eduardo Tavares and
                  Ricardo Massa Ferreira Lima},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A formal software synthesis approach for embedded hard real-time systems},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {163--168},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016615},
  doi          = {10.1145/1016568.1016615},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarretoNOMTL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckC04,
  author       = {Antonio Carlos Schneider Beck and
                  Luigi Carro},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A {VLIW} low power Java processor for embedded applications},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {157--162},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016614},
  doi          = {10.1145/1016568.1016614},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckerWP04,
  author       = {Leandro Buss Becker and
                  Marco A. Wehrmeister and
                  Carlos Eduardo Pereira},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Power and performance tuning in the synthesis of real-time scheduling
                  algorithms for embedded applications},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {169--174},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016616},
  doi          = {10.1145/1016568.1016616},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckerWP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BoasSO04,
  author       = {Andre Vilas Boas and
                  Jefferson B. D. Soldera and
                  Alfredo Olmos},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A 1.8V supply multi-frequency digitally trimmable on-chip {IC} oscillator
                  with low-voltage detection capability},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {44--48},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016587},
  doi          = {10.1145/1016568.1016587},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BoasSO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Camacho-GaleanoGS04,
  author       = {Edgar Mauricio Camacho{-}Galeano and
                  Carlos Galup{-}Montoro and
                  M{\'{a}}rcio C. Schneider},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {An ultra-low-power self-biased current reference},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {147--150},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016611},
  doi          = {10.1145/1016568.1016611},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Camacho-GaleanoGS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CamareroNL04,
  author       = {David Camarero and
                  Jean{-}Fran{\c{c}}ois Naviner and
                  Patrick Loumeau},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Digital background and blind calibration for clock skew error in time-interleaved
                  analog-to-digital converters},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {228--232},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016629},
  doi          = {10.1145/1016568.1016629},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CamareroNL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Camposano04,
  author       = {Raul Camposano},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Will the {ASIC} survive?},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016569},
  doi          = {10.1145/1016568.1016569},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Camposano04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Carbonari04,
  author       = {Armando Carbonari},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Avionic systems overview},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016570},
  doi          = {10.1145/1016568.1016570},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Carbonari04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarvalhoCBM04,
  author       = {Ewerson Carvalho and
                  Ney Calazans and
                  Eduardo Wenzel Bri{\~{a}}o and
                  Fernando Moraes},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {PaDReH: a framework for the design and implementation of dynamically
                  and partially reconfigurable systems},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {10--15},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016580},
  doi          = {10.1145/1016568.1016580},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarvalhoCBM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CornoARV04,
  author       = {Fulvio Corno and
                  Julio P{\'{e}}rez Acle and
                  Matteo Sonza Reorda and
                  Massimo Violante},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A multi-level approach to the dependability analysis of networked
                  systems based on the {CAN} protocol},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {71--75},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016593},
  doi          = {10.1145/1016568.1016593},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CornoARV04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorreiaR04,
  author       = {Vin{\'{\i}}cius P. Correia and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Advanced technology mapping for standard-cell generators},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {254--259},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016636},
  doi          = {10.1145/1016568.1016636},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorreiaR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Danne04,
  author       = {Klaus Danne},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Distributed arithmetic {FPGA} design with online scalable size and
                  performance},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {135--140},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016608},
  doi          = {10.1145/1016568.1016608},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Danne04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DhillonDCS04,
  author       = {Yuvraj Singh Dhillon and
                  Abdulkadir Utku Diril and
                  Abhijit Chatterjee and
                  Adit D. Singh},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Low-power dual V\({}_{\mbox{th}}\) pseudo dual V\({}_{\mbox{dd}}\)
                  domino circuits},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {273--277},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016640},
  doi          = {10.1145/1016568.1016640},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DhillonDCS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FabrisCB04,
  author       = {Eric E. Fabris and
                  Luigi Carro and
                  Sergio Bampi},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Modeling and designing high performance analog reconfigurable circuits},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {49--54},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016588},
  doi          = {10.1145/1016568.1016588},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FabrisCB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HubnerBB04,
  author       = {Michael H{\"{u}}bner and
                  Tobias Becker and
                  J{\"{u}}rgen Becker},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Real-time LUT-based network topologies for dynamic and partial {FPGA}
                  self-reconfiguration},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {28--32},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016583},
  doi          = {10.1145/1016568.1016583},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HubnerBB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Huertas04,
  author       = {Jos{\'{e}} Luis Huertas},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Test and design-for-test of mixed-signal integrated circuits},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016578},
  doi          = {10.1145/1016568.1016578},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Huertas04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Hutton04,
  author       = {Mike Hutton},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Architecture and {CAD} for FPGAs},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016577},
  doi          = {10.1145/1016568.1016577},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Hutton04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Hutton04a,
  author       = {Mike Hutton},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Advances and trends in {FPGA} design},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016572},
  doi          = {10.1145/1016568.1016572},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Hutton04a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JacometGZN04,
  author       = {Marcel Jacomet and
                  Josef Goette and
                  Venanz Zbinden and
                  Christian Narvaez},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {On the dynamic behavior of a novel digital-only sigma--delta {A/D}
                  converter},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {222--227},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016628},
  doi          = {10.1145/1016568.1016628},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/JacometGZN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KlimachASG04,
  author       = {Hamilton Klimach and
                  Alfredo Arnaud and
                  M{\'{a}}rcio C. Schneider and
                  Carlos Galup{-}Montoro},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Characterization of {MOS} transistor current mismatch},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {33--38},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016585},
  doi          = {10.1145/1016568.1016585},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KlimachASG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeongVFS04,
  author       = {Michel Leong and
                  Pedro Vasconcelos and
                  Jorge R. Fernandes and
                  Leonel Sousa},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A programmable cellular neural network circuit},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {186--191},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016620},
  doi          = {10.1145/1016568.1016620},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeongVFS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/M04,
  author       = {C{\'{e}}sar Augusto Due{\~{n}}as M.},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Verification and test challenges in SoC designs},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016573},
  doi          = {10.1145/1016568.1016573},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/M04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Macii04,
  author       = {Enrico Macii},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {{RTL} power estimation and optimization},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016575},
  doi          = {10.1145/1016568.1016575},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Macii04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Macii04a,
  author       = {Enrico Macii},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Leakage power optimization in standard-cell designs},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016571},
  doi          = {10.1145/1016568.1016571},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Macii04a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MeiseG04,
  author       = {Christian Meise and
                  Christoph Grimm},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A SystemC based case study of a sensor application using the BeCom
                  modeling methodology for virtual prototyping},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {242--247},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016633},
  doi          = {10.1145/1016568.1016633},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MeiseG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MelekSG04,
  author       = {Luiz Alberto Pasini Melek and
                  M{\'{a}}rcio C. Schneider and
                  Carlos Galup{-}Montoro},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Body-bias compensation technique for SubThreshold {CMOS} static logic
                  gates},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {267--272},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016639},
  doi          = {10.1145/1016568.1016639},
  timestamp    = {Thu, 10 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MelekSG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MirandaNN04,
  author       = {Fernando P. H. de Miranda and
                  Jo{\~{a}}o Navarro Jr. and
                  Wilhelmus A. M. Van Noije},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A 4 GHz dual modulus divider-by 32/33 prescaler in 0.35m {CMOS} technology},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {94--99},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016598},
  doi          = {10.1145/1016568.1016598},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MirandaNN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraKJSB04,
  author       = {C. P. Moreira and
                  Eric Kerherve and
                  Pierre Jarry and
                  Alexandre A. Shirakawa and
                  Didier Belot},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Dual-mode {RF} receiver front-end using a 0.25-{\(\mathrm{\mu}\)}m
                  60-GHz f\({}_{\mbox{T}}\)SiGe: {C} BiCMOS7RF technology},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {88--93},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016597},
  doi          = {10.1145/1016568.1016597},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraKJSB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MurganSPIOGR04,
  author       = {Tudor Murgan and
                  Clemens Schlachta and
                  Mihail Petrov and
                  Leandro Soares Indrusiak and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Manfred Glesner and
                  Ricardo A. L. Reis},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Accurate capture of timing parameters in inductively-coupled on-chip
                  interconnects},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {117--122},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016604},
  doi          = {10.1145/1016568.1016604},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MurganSPIOGR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoMLSF04,
  author       = {Paulo S{\'{e}}rgio B. do Nascimento and
                  Paulo Romero Martins Maciel and
                  Manoel Eus{\'{e}}bio de Lima and
                  Remy Eskinazi Sant'Anna and
                  Abel Guilhermino Silva{-}Filho},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A partial reconfigurable architecture for controllers based on Petri
                  nets},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {16--21},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016581},
  doi          = {10.1145/1016568.1016581},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoMLSF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Neto04,
  author       = {Jos{\'{e}} Vieira do Vale Neto},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Design sequence for a LC-tank voltage controlled oscillator in {CMOS}
                  for {RF}},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {76--81},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016595},
  doi          = {10.1145/1016568.1016595},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Neto04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OsorioSRR04,
  author       = {M{\'{a}}rio C. B. Osorio and
                  Carlos A. Sampaio and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Enhanced 32-bit carry lookahead adder using multiple output enable-disable
                  {CMOS} differential logic},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {181--185},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016619},
  doi          = {10.1145/1016568.1016619},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OsorioSRR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OyamadaZW04,
  author       = {M{\'{a}}rcio Oyamada and
                  Felipe Zschornack and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Accurate software performance estimation using domain classification
                  and neural networks},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {175--180},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016617},
  doi          = {10.1145/1016568.1016617},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/OyamadaZW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PetragliaCP04,
  author       = {Antonio Petraglia and
                  Jorge M. Ca{\~{n}}ive and
                  Mariane R. Petraglia},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A 0.8 mum {CMOS} switched-capacitor video filter},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {39--43},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016586},
  doi          = {10.1145/1016568.1016586},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PetragliaCP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaCMB04,
  author       = {Vagner S. Rosa and
                  Eduardo A. C. da Costa and
                  Jos{\'{e}} C. Monteiro and
                  Sergio Bampi},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {An improved synthesis method for low power hardwired {FIR} filters},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {237--241},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016632},
  doi          = {10.1145/1016568.1016632},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaCMB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SammaneSTOB04,
  author       = {Ghiath Al Sammane and
                  Julien Schmaltz and
                  Diana Toma and
                  Pierre Ostier and
                  Dominique Borrione},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {TheoSim: combining symbolic simulation and theorem proving for hardware
                  verification},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {60--65},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016591},
  doi          = {10.1145/1016568.1016591},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SammaneSTOB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SavioliCCF04,
  author       = {Carlos Eduardo Savioli and
                  Claudio C. Czendrodi and
                  Jos{\'{e}} Vicente Calvano and
                  Antonio Carneiro de Mesquita Filho},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {{ATPG} for fault diagnosis on analog electrical networks using evolutionary
                  techniques},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {100--104},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016600},
  doi          = {10.1145/1016568.1016600},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SavioliCCF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SicaCNFF04,
  author       = {Fernando Cortez Sica and
                  Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Jos{\'{e}} Augusto Miranda Nacif and
                  Harry Foster and
                  Ant{\^{o}}nio Ot{\'{a}}vio Fernandes},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Exception handling in microprocessors using assertion libraries},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {55--59},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016590},
  doi          = {10.1145/1016568.1016590},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SicaCNFF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SillGT04,
  author       = {Frank Sill and
                  Frank Grassert and
                  Dirk Timmermann},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Low power gate-level design with mixed-V\({}_{\mbox{th}}\) {(MVT)}
                  techniques},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {278--282},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016641},
  doi          = {10.1145/1016568.1016641},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SillGT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMAP04,
  author       = {Karina R. G. da Silva and
                  Elmar U. K. Melcher and
                  Guido Araujo and
                  Valdiney Alves Pimenta},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {An automatic testbench generation tool for a SystemC functional verification
                  methodology},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {66--70},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016592},
  doi          = {10.1145/1016568.1016592},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMAP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaS04,
  author       = {Jo{\~{a}}o M. S. Silva and
                  L. Miguel Silveira},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Issues in parallelizing multigrid-based substrate model extraction
                  and analysis},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {123--128},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016605},
  doi          = {10.1145/1016568.1016605},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresSA04,
  author       = {Rodrigo Soares and
                  Ivan Saraiva Silva and
                  Arnaldo Azevedo},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {When reconfigurable architecture meets network-on-chip},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {216--221},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016626},
  doi          = {10.1145/1016568.1016626},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresSA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/StanHG04,
  author       = {Mircea R. Stan and
                  Fatih Hamzaoglu and
                  David Garrett},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Non-Manhattan maze routing},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {260--265},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016637},
  doi          = {10.1145/1016568.1016637},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/StanHG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ThomasZB04,
  author       = {Alexander Thomas and
                  Thomas Zander and
                  J{\"{u}}rgen Becker},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Adaptive DMA-based {I/O} interfaces for data stream handling in multi-grained
                  reconfigurable hardware architectures},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {141--146},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016609},
  doi          = {10.1145/1016568.1016609},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ThomasZB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ThulW04,
  author       = {Michael J. Thul and
                  Norbert Wehn},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {{FPGA} implementation of parallel turbo-decoders},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {198--203},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016622},
  doi          = {10.1145/1016568.1016622},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ThulW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TruccoBL04,
  author       = {Gabriella Trucco and
                  Giorgio Boselli and
                  Valentino Liberali},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {An approach to computer simulation of bonding and package crosstalk
                  in mixed-signal {CMOS} ICs},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {129--134},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016606},
  doi          = {10.1145/1016568.1016606},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TruccoBL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Visweswariah04,
  author       = {Chandu Visweswariah},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Statistical analysis and design: from picoseconds to probabilities},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016576},
  doi          = {10.1145/1016568.1016576},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Visweswariah04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VogtWA04,
  author       = {Timo Vogt and
                  Norbert Wehn and
                  Philippe Alves},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A multi-standard channel-decoder for base-station applications},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {192--197},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016621},
  doi          = {10.1145/1016568.1016621},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VogtWA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WohlmuthK04,
  author       = {Hans{-}Dieter Wohlmuth and
                  Daniel Kehrer},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A low power 13-Gb/s 27-1 pseudo random bit sequence generator {IC}
                  in 120 nm bulk {CMOS}},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {233--236},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016630},
  doi          = {10.1145/1016568.1016630},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/WohlmuthK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZeferinoSS04,
  author       = {C{\'{e}}sar Albenes Zeferino and
                  Frederico G. M. E. Santo and
                  Altamiro Amadeu Susin},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {ParIS: a parameterizable interconnect switch for networks-on-chip},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {204--209},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016624},
  doi          = {10.1145/1016568.1016624},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZeferinoSS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZipfHAG04,
  author       = {Peter Zipf and
                  Heiko Hinkelmann and
                  Adeel Ashraf and
                  Manfred Glesner},
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {A switch architecture and signal synchronization for {GALS} system-on-chips},
  booktitle    = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  pages        = {210--215},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568.1016625},
  doi          = {10.1145/1016568.1016625},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZipfHAG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2004,
  editor       = {Edna Natividade da Silva Barros and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro and
                  Franz{-}Josef Rammig},
  title        = {Proceedings of the 17th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2004, Pernambuco, Brazil, September 7-11,
                  2004},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016568},
  doi          = {10.1145/1016568},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AguirreS03,
  author       = {Pablo Aguirre and
                  Fernando Silveira},
  title        = {Design of a Reusable Rail-to-Rail Operational Amplifier},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {20--25},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232801},
  doi          = {10.1109/SBCCI.2003.1232801},
  timestamp    = {Fri, 17 Jun 2022 15:49:04 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AguirreS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AugeDP03,
  author       = {Ivan Aug{\'{e}} and
                  Fran{\c{c}}ois Donnet and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot},
  title        = {Retiming Finite State Machines to Control Hardened Data-Paths},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {41--46},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232804},
  doi          = {10.1109/SBCCI.2003.1232804},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AugeDP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ayala-RinconNLJH03,
  author       = {Mauricio Ayala{-}Rinc{\'{o}}n and
                  Rodrigo Borges Nogueira and
                  Carlos H. Llanos and
                  Ricardo P. Jacobi and
                  Reiner W. Hartenstein},
  title        = {Modeling a Reconfigurable System for Computing the {FFT} in Place
                  via Rewriting-Logic},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {205--210},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232830},
  doi          = {10.1109/SBCCI.2003.1232830},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ayala-RinconNLJH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AzevedoP03,
  author       = {Julio Arlindo Pinto Azevedo and
                  Tales Cleber Pimenta},
  title        = {Design of a Low Noise Amplifier for {CDMA} Transceivers at 900MHz
                  in {CMOS} 0.35 {\(\mathrm{\mu}\)}m},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {9--13},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232799},
  doi          = {10.1109/SBCCI.2003.1232799},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AzevedoP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AzevedoSS03,
  author       = {Arnaldo Azevedo and
                  Rodrigo Soares and
                  Ivan Saraiva Silva},
  title        = {A New Hybrid Parallel/Reconfigurable Architecture: The {X4CP32}},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {225--230},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232833},
  doi          = {10.1109/SBCCI.2003.1232833},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AzevedoSS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckerHU03,
  author       = {J{\"{u}}rgen Becker and
                  Michael H{\"{u}}bner and
                  Michael Ullmann},
  title        = {Power Estimation and Power Measurement of Xilinx Virtex FPGAs: Trade-Offs
                  and Limitations},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {283--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232842},
  doi          = {10.1109/SBCCI.2003.1232842},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckerHU03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeckerTS03,
  author       = {J{\"{u}}rgen Becker and
                  Alexander Thomas and
                  Maik Scheer},
  title        = {Efficient Processor Instruction Set Extension by Asynchronous Reconfigurable
                  Datapath Integration},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {237--242},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232835},
  doi          = {10.1109/SBCCI.2003.1232835},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeckerTS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CalazansMHRMC03,
  author       = {Ney Laert Vilar Calazans and
                  Edson I. Moreno and
                  Fabiano Hessel and
                  Vitor M. da Rosa and
                  Fernando Moraes and
                  Everton Carara},
  title        = {From {VHDL} Register Transfer Level to SystemC Transaction Level Modeling:
                  {A} Comparative Case Study},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {355},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232853},
  doi          = {10.1109/SBCCI.2003.1232853},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CalazansMHRMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarloniS03,
  author       = {Luca P. Carloni and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {Combining Retiming and Recycling to Optimize the Performance of Synchronous
                  Circuits},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {47--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232805},
  doi          = {10.1109/SBCCI.2003.1232805},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarloniS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CastaldoCR03,
  author       = {Fernando C. Castaldo and
                  Jo{\~{a}}o Paulo Cerquinho Cajueiro and
                  Carlos Alberto dos Reis},
  title        = {Bias Dependence of Noise Correlation in MAGFETs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {187--190},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232827},
  doi          = {10.1109/SBCCI.2003.1232827},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CastaldoCR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ChenNWC03,
  author       = {Howard H. Chen and
                  J. Scott Neely and
                  Michael F. Wang and
                  Gricel Co},
  title        = {On-Chip Decoupling Capacitor Optimization for Noise and Leakage Reduction},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {251--255},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232837},
  doi          = {10.1109/SBCCI.2003.1232837},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ChenNWC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaBM03,
  author       = {Eduardo A. C. da Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {A New Pipelined Array Architecture for Signed Multiplication},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {65--70},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232808},
  doi          = {10.1109/SBCCI.2003.1232808},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaBM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DamianiS03,
  author       = {Maurizio Damiani and
                  Andrei Y. Selchenko},
  title        = {Boolean Technology Mapping Based on Logic Decomposition},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {35--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232803},
  doi          = {10.1109/SBCCI.2003.1232803},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DamianiS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Dutta03,
  author       = {Santanu Dutta},
  title        = {Architecture and Implementation of Multi-Processor SoCs for Advanced
                  Set-Top Box and Digital {TV} Systems},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {145},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232820},
  doi          = {10.1109/SBCCI.2003.1232820},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Dutta03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ElgamelB03,
  author       = {Mohamed A. Elgamel and
                  Magdy A. Bayoumi},
  title        = {Minimum-Area Shield Insertion for Explicit Inductive Noise Reduction},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {256--260},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232838},
  doi          = {10.1109/SBCCI.2003.1232838},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ElgamelB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FabrisCB03,
  author       = {Eric E. Fabris and
                  Luigi Carro and
                  Sergio Bampi},
  title        = {A Universal High-Performance Analog Interface for Signal Processing
                  SOCs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {137},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232819},
  doi          = {10.1109/SBCCI.2003.1232819},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FabrisCB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerraoWRG03,
  author       = {Daniel Lima Ferr{\~{a}}o and
                  Gustavo Wilke and
                  Ricardo Augusto da Luz Reis and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel},
  title        = {Improving Critical Path Identification in Functional Timing Analysis},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232844},
  doi          = {10.1109/SBCCI.2003.1232844},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerraoWRG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraHPM03,
  author       = {Sandro Binsfeld Ferreira and
                  Jos{\'{e}} Felipe Haffner and
                  Lu{\'{\i}}s Fernando Alves Pereira and
                  Fernando Moraes},
  title        = {Design and Prototyping of Direct Torque Control of Induction Motors
                  in FPGAs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {105--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232814},
  doi          = {10.1109/SBCCI.2003.1232814},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraHPM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoFAACLLOH03,
  author       = {Abel Guilhermino Silva{-}Filho and
                  Alejandro C{\'{e}}sar Frery and
                  Cristiano C. de Ara{\'{u}}jo and
                  Haglay Alice and
                  Jorge Cerqueira and
                  Juliana A. Loureiro and
                  Manoel Eus{\'{e}}bio de Lima and
                  Maria das Gracas S. Oliveira and
                  Michelle Matos Horta},
  title        = {Hyperspectral Images Clustering on Reconfigurable Hardware Using the
                  K-Means Algorithm},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {99--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232813},
  doi          = {10.1109/SBCCI.2003.1232813},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoFAACLLOH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoLMMC03,
  author       = {Julio A. de Oliveira Filho and
                  Manoel Eus{\'{e}}bio de Lima and
                  Paulo Romero Martins Maciel and
                  Juliana Moura and
                  Bruno Celso},
  title        = {A Fast IP-Core Integration Methodology for SoC Design},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {131--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232818},
  doi          = {10.1109/SBCCI.2003.1232818},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoLMMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FilhoMWC03,
  author       = {Antonio Carlos Schneider Beck and
                  J{\'{u}}lio C. B. de Mattos and
                  Fl{\'{a}}vio Rech Wagner and
                  Luigi Carro},
  title        = {{CACO-PS:} {A} General Purpose Cycle-Accurate Configurable Power Simulator},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {349--354},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232852},
  doi          = {10.1109/SBCCI.2003.1232852},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FilhoMWC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FragosoSR03,
  author       = {Jo{\~{a}}o Leonardo Fragoso and
                  Gilles Sicard and
                  Marc Renaudin},
  title        = {Automatic Generation of 1-of-M {QDI} Asynchronous Adders},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {149--154},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232821},
  doi          = {10.1109/SBCCI.2003.1232821},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FragosoSR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Franca-Neto03,
  author       = {Luiz Franca{-}Neto},
  title        = {High-Performance RF/Microwave Integrated Circuits in Advanced Logic
                  {CMOS} Technology: The Coming of Age for RF/Digital Mixed-Signal System-on-a-Package},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {5},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232798},
  doi          = {10.1109/SBCCI.2003.1232798},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Franca-Neto03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GimenezPMAF03,
  author       = {Salvador Pinillos Gimenez and
                  Marcelo Antonio Pavanello and
                  Jo{\~{a}}o Antonio Martino and
                  Stephane Adriaensen and
                  Denis Flandre},
  title        = {Design of Operational Transconductance Amplifiers with Improved Gain
                  by Using Graded-Channel {SOI} nMOSFETs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {26},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232802},
  doi          = {10.1109/SBCCI.2003.1232802},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GimenezPMAF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GinesPR03,
  author       = {Antonio J. Gin{\'{e}}s and
                  Eduardo J. Peral{\'{\i}}as and
                  Adoraci{\'{o}}n Rueda},
  title        = {Digital Background Calibration Technique for Pipeline ADCs with Multi-Bit
                  Stages},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {317--322},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232847},
  doi          = {10.1109/SBCCI.2003.1232847},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GinesPR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GirardiCFB03,
  author       = {Alessandro Girardi and
                  Fernando da Rocha Paix{\~{a}}o Cortes and
                  Eric E. Fabris and
                  Sergio Bampi},
  title        = {Analog {IC} Modules Design Using Trapezoidal Association of {MOS}
                  Transistors in 0.35{\(\mathrm{\mu}\)}m Technology},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {311--316},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232846},
  doi          = {10.1109/SBCCI.2003.1232846},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GirardiCFB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoelEB03,
  author       = {Sumeer Goel and
                  Mohamed A. Elgamel and
                  Magdy A. Bayoumi},
  title        = {Novel Design Methodology for High-Performance {XOR-XNOR} Circuit Design},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {71},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232809},
  doi          = {10.1109/SBCCI.2003.1232809},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoelEB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HaubeltKT03,
  author       = {Christian Haubelt and
                  Dirk Koch and
                  J{\"{u}}rgen Teich},
  title        = {ReCoNet: Modeling and Implementation of Fault Tolerant Distributed
                  Reconfigurable Hardware},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {343--348},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232851},
  doi          = {10.1109/SBCCI.2003.1232851},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HaubeltKT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HentschkeR03,
  author       = {Renato Fernandes Hentschke and
                  Ricardo Augusto da Luz Reis},
  title        = {Improving Simulated Annealing Placement by Applying Random and Greedy
                  Mixed Perturbations},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {267},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232840},
  doi          = {10.1109/SBCCI.2003.1232840},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HentschkeR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Janssen03,
  author       = {Geert Janssen},
  title        = {A Consumer Report on {BDD} Packages},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {217},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232832},
  doi          = {10.1109/SBCCI.2003.1232832},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Janssen03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeiK03,
  author       = {Tang Lei and
                  Shashi Kumar},
  title        = {Algorithms and Tools for Network on Chip Based System Design},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {163--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232823},
  doi          = {10.1109/SBCCI.2003.1232823},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeiK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LogothetisSM03,
  author       = {George Logothetis and
                  Klaus Schneider and
                  C. Metzler},
  title        = {Runtime Analysis of Synchronous Programs for Low-Level Real-Time Verification},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {211--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232831},
  doi          = {10.1109/SBCCI.2003.1232831},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LogothetisSM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lysaght03,
  author       = {Patrick Lysaght},
  title        = {System-Level Design for FPGAs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {4},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232797},
  doi          = {10.1109/SBCCI.2003.1232797},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lysaght03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lysaght03a,
  author       = {Patrick Lysaght},
  title        = {Future Design Tools for Platform FPGAs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {275},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232841},
  doi          = {10.1109/SBCCI.2003.1232841},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lysaght03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Martin03,
  author       = {Grant Martin},
  title        = {SystemC: From Language to Applications, from Tools to Methodologies},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {3},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232796},
  doi          = {10.1109/SBCCI.2003.1232796},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Martin03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Martin03a,
  author       = {Grant Martin},
  title        = {SystemC and the Future of Design Languages: Opportunities for Users
                  and Research},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {61},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232807},
  doi          = {10.1109/SBCCI.2003.1232807},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Martin03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MaslovDM03,
  author       = {Dmitri Maslov and
                  Gerhard W. Dueck and
                  D. Michael Miller},
  title        = {Simplification of Toffoli Networks via Templates},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {53},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232806},
  doi          = {10.1109/SBCCI.2003.1232806},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MaslovDM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NedjahM03,
  author       = {Nadia Nedjah and
                  Luiza de Macedo Mourelle},
  title        = {FPGA-Based Hardware Architecture for Neural Networks: Binary Radix
                  vs. Stochastic},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {111},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232815},
  doi          = {10.1109/SBCCI.2003.1232815},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NedjahM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NegreirosSCS03,
  author       = {Marcelo Negreiros and
                  Erik Sch{\"{u}}ler and
                  Luigi Carro and
                  Altamiro Amadeu Susin},
  title        = {Testing {RF} Signal Paths Using Spectral Analysis and Subsampling},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {329},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232849},
  doi          = {10.1109/SBCCI.2003.1232849},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NegreirosSCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Olmos03,
  author       = {Alfredo Olmos},
  title        = {A Temperature Compensated Fully Trimmable On-Chip {IC} Oscillator},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {181--186},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232826},
  doi          = {10.1109/SBCCI.2003.1232826},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Olmos03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PereiraBF03,
  author       = {Artur Pereira and
                  Antonio Rui Borges and
                  Antonio B. Ferrari},
  title        = {Exclusion Relation of k Out of n and the Synthesis of Speed-Independent
                  Circuits},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {155},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232822},
  doi          = {10.1109/SBCCI.2003.1232822},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PereiraBF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PerezRV03,
  author       = {Julio P{\'{e}}rez and
                  Matteo Sonza Reorda and
                  Massimo Violante},
  title        = {Accurate Dependability Analysis of CAN-Based Networked Systems},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {337--342},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232850},
  doi          = {10.1109/SBCCI.2003.1232850},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PerezRV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PoliSRR03,
  author       = {Renato E. B. Poli and
                  Felipe Ribeiro Schneider and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Unified Theory to Build Cell-Level Transistor Networks from BDDs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {199--204},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232829},
  doi          = {10.1109/SBCCI.2003.1232829},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PoliSRR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RettbergDZL03,
  author       = {Achim Rettberg and
                  Florian Dittmann and
                  Mauro Cesar Zanella and
                  Thomas Lehmann},
  title        = {Towards a High-Level Synthesis of Reconfigurable Bit-Serial Architectures},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {79--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232810},
  doi          = {10.1109/SBCCI.2003.1232810},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RettbergDZL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RettbergZLDR03,
  author       = {Achim Rettberg and
                  Mauro Cesar Zanella and
                  Thomas Lehmann and
                  Ulrich Dierkes and
                  Carsten Rustemeier},
  title        = {Control Development for Mechatronic Systems with a Fully Reconfigurable
                  Pipeline Architecture},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {231--236},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232834},
  doi          = {10.1109/SBCCI.2003.1232834},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RettbergZLDR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RoaSN03,
  author       = {Elkim Roa and
                  Joao Navarro Soares and
                  Wilhelmus A. M. Van Noije},
  title        = {A Methodology for {CMOS} Low Noise Ampli.er Design},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232800},
  doi          = {10.1109/SBCCI.2003.1232800},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RoaSN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Rodriguez-VillegasYR03,
  author       = {Esther Rodr{\'{\i}}guez{-}Villegas and
                  Alberto Yufera and
                  Adoraci{\'{o}}n Rueda},
  title        = {A Charge Correction Cell for FGMOS-Based Circuits},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {191},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232828},
  doi          = {10.1109/SBCCI.2003.1232828},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Rodriguez-VillegasYR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosWLRG03,
  author       = {Cristiano Santos and
                  Gustavo Wilke and
                  Cristiano Lazzari and
                  Ricardo Reis and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel},
  title        = {A Transistor Sizing Method Applied to an Automatic Layout Generation
                  Tool},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {303},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232845},
  doi          = {10.1109/SBCCI.2003.1232845},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosWLRG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SolderaBO03,
  author       = {Jefferson B. D. Soldera and
                  Andre Vilas Boas and
                  Alfredo Olmos},
  title        = {A Low Ripple Fully Integrated Charge Pump Regulator},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {177--180},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232825},
  doi          = {10.1109/SBCCI.2003.1232825},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SolderaBO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SouzaSMW03,
  author       = {Uilian Rafael Feijo Souza and
                  Josu{\'{e}} Klafke Sperb and
                  Braulio Adriano de Mello and
                  Fl{\'{a}}vio Rech Wagner},
  title        = {Tangram - Virtual Integration of Heterogeneous {IP} Components in
                  a Distributed Co-Simulation Environment},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {125--130},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232817},
  doi          = {10.1109/SBCCI.2003.1232817},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SouzaSMW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TakahashiO03,
  author       = {Ryuichi Takahashi and
                  Hajime Ohiwa},
  title        = {Situated Learning on {FPGA} for Superscalar Microprocessor Design
                  Education},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {243},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232836},
  doi          = {10.1109/SBCCI.2003.1232836},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TakahashiO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Tissafi-DrissiOMG03,
  author       = {Faress Tissafi{-}Drissi and
                  Ian O'Connor and
                  Fabien Mieyeville and
                  Fr{\'{e}}d{\'{e}}ric Gaffiot},
  title        = {Design Methodologies for High-Speed {CMOS} Photoreceiver Front-Ends},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {323--328},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232848},
  doi          = {10.1109/SBCCI.2003.1232848},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Tissafi-DrissiOMG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VestiasN03,
  author       = {M{\'{a}}rio P. V{\'{e}}stias and
                  Hor{\'{a}}cio C. Neto},
  title        = {{DALI:} {A} Methodology for the Co-Design of Dataflow Applications
                  on Hardware/Software Architectures},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {85},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232811},
  doi          = {10.1109/SBCCI.2003.1232811},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/VestiasN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VisariusLHKT03,
  author       = {Markus Visarius and
                  Johannes Lessmann and
                  Wolfram Hardt and
                  Frank Kelso and
                  Wolfgang Thronicke},
  title        = {An {XML} Format Based Integration Infrastructure for {IP} Based Design},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {119--124},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232816},
  doi          = {10.1109/SBCCI.2003.1232816},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/VisariusLHKT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WangCH03,
  author       = {Janet Meiling Wang and
                  Pinhong Chen and
                  Omar Hafiz},
  title        = {A New Continuous Switching Window Computation with Crosstalk Noise},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {261--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232839},
  doi          = {10.1109/SBCCI.2003.1232839},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WangCH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZandonaiBB03,
  author       = {Diogo Zandonai and
                  Sergio Bampi and
                  Marcel Bergerman},
  title        = {{ME64} - {A} Highly Scalable Hardware Parallel Architecture Motion
                  Estimation in {FPGA}},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {93--98},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232812},
  doi          = {10.1109/SBCCI.2003.1232812},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZandonaiBB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZeferinoS03,
  author       = {C{\'{e}}sar Albenes Zeferino and
                  Altamiro Amadeu Susin},
  title        = {SoCIN: {A} Parametric and Scalable Network-on-Chip},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {169},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232824},
  doi          = {10.1109/SBCCI.2003.1232824},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZeferinoS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZuimCMFMS03,
  author       = {Romanelli Lodron Zuim and
                  Claudionor Jos{\'{e}} Nunes Coelho Jr. and
                  Luiz Fernando Etrusco Moreira and
                  Ant{\^{o}}nio Ot{\'{a}}vio Fernandes and
                  Jos{\'{e}} Monteiro da Mata and
                  Di{\'{o}}genes Cecilio da Silva Jr.},
  title        = {Dynamic Reconfiguration Behavior Using Generic FPGAs and FPIDs},
  booktitle    = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  pages        = {289},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/SBCCI.2003.1232843},
  doi          = {10.1109/SBCCI.2003.1232843},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZuimCMFMS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2003,
  title        = {Proceedings of the 16th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2003, Sao Paulo, Brazil, September 8-11, 2003},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8726/proceeding},
  doi          = {10.5555/942808},
  isbn         = {0-7695-2009-X},
  timestamp    = {Fri, 17 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/000102,
  author       = {J{\"{u}}rgen Becker},
  title        = {Configurable Systems-on-Chip (CSoC)},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {379--384},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827366},
  doi          = {10.5555/827246.827366},
  timestamp    = {Fri, 10 Jun 2022 11:12:41 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/000102.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001B002,
  author       = {Eduardo Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {A New Architecture for 2's Complement Gray Encoded Array Multiplier},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827361},
  doi          = {10.5555/827246.827361},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001B002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001CPLR02,
  author       = {Felipe S. Marques and
                  Vin{\'{\i}}cius P. Correia and
                  A. Prado and
                  Marcelo Lubaszewski and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Testability Properties of BDDs},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {83--88},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827388},
  doi          = {10.5555/827246.827388},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001CPLR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AcostaSA02,
  author       = {Ra{\'{u}}l Acosta and
                  Fernando Silveira and
                  Pablo Aguirre},
  title        = {Experiences on Analog Circuit Technology Migration and Reuse},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {169--176},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827390},
  doi          = {10.5555/827246.827390},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AcostaSA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Agarwal0EB02,
  author       = {Manvi Agarwal and
                  S. K. Nandy and
                  Jos T. J. van Eijndhoven and
                  S. Balakrishanan},
  title        = {Multithreaded Architectural Support for Speculative Trace Scheduling
                  in {VLIW} Processors},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {43--48},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827409},
  doi          = {10.5555/827246.827409},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Agarwal0EB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AgostiniSB02,
  author       = {Luciano Volcan Agostini and
                  Ivan Saraiva Silva and
                  Sergio Bampi},
  title        = {Pipelined Entropy Coders for {JPEG} Compression},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {203--208},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827392},
  doi          = {10.5555/827246.827392},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AgostiniSB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlcantaraVGA02,
  author       = {Jo{\~{a}}o M. S. Alc{\^{a}}ntara and
                  Ant{\^{o}}nio C. C. Vieira and
                  Federico G{\'{a}}lvez{-}Durand and
                  Vladimir Castro Alves},
  title        = {A Methodology for Dynamic Power Consumption Estimation Using {VHDL}
                  Descriptions},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {149--154},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827348},
  doi          = {10.5555/827246.827348},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlcantaraVGA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Amory0OCH02,
  author       = {Alexandre M. Amory and
                  Fernando Moraes and
                  Leandro A. Oliveira and
                  Ney Calazans and
                  Fabiano Hessel},
  title        = {A Heterogeneous and Distributed Co-Simulation Environment},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {115--120},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827374},
  doi          = {10.5555/827246.827374},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Amory0OCH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AnidoPB02,
  author       = {Manuel Lois Anido and
                  Alexander Paar and
                  Nader Bagherzadeh},
  title        = {A Novel Method for Improving the Operation Autonomy of {SIMD} Processing
                  Elements},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {49--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827410},
  doi          = {10.5555/827246.827410},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AnidoPB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AraujoB02,
  author       = {Cristiano C. de Ara{\'{u}}jo and
                  Edna Barros},
  title        = {Interface Generation for Concurrent Processes During Hardware/Software
                  Co-synthesis},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {109--114},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827372},
  doi          = {10.5555/827246.827372},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AraujoB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BeliniR02,
  author       = {Valdinei Lu{\'{\i}}s Belini and
                  M. A. Romero},
  title        = {Design of Active Inductors Using {CMOS} Technology},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {296--301},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827397},
  doi          = {10.5555/827246.827397},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BeliniR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BoschettiASB02,
  author       = {Marcos R. Boschetti and
                  Alexandro M. S. Ad{\'{a}}rio and
                  Ivan Saraiva Silva and
                  Sergio Bampi},
  title        = {Techniques and Mechanisms for Dynamic Reconfiguration in an Image
                  Processor},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {177--182},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827399},
  doi          = {10.5555/827246.827399},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BoschettiASB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CajueiroF02,
  author       = {Jo{\~{a}}o Paulo Cerquinho Cajueiro and
                  Carlos A. dos Reis Filho},
  title        = {{CMOS} Bandgap with Base-Current Thermal Compensation},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {345--349},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827401},
  doi          = {10.5555/827246.827401},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CajueiroF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CoelhoPS02,
  author       = {Carlos P. Coelho and
                  Joel R. Phillips and
                  L. Miguel Silveira},
  title        = {On Generating Compact, Passive Models of Frequency-Described Systems},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {302--307},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827395},
  doi          = {10.5555/827246.827395},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CoelhoPS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CornoRS02,
  author       = {Fulvio Corno and
                  Matteo Sonza Reorda and
                  Giovanni Squillero},
  title        = {Reducing Test Application Time through Interleaved Scan},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {89--94},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827387},
  doi          = {10.5555/827246.827387},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CornoRS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CorreiaR02,
  author       = {Vin{\'{\i}}cius Pazutti Correia and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Compression and Technology Mapping of Logic Circuits},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {283--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827352},
  doi          = {10.5555/827246.827352},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CorreiaR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DeschansBDSFMB02,
  author       = {David Deschans and
                  Jean{-}Baptiste B{\'{e}}gueret and
                  Yann Deval and
                  Christophe Scarabello and
                  Pascal Fouillat and
                  Guy Montignac and
                  Alain Baudry},
  title        = {A 4 Gsamples/S with 2-4 GHz Input Bandwidth {SIGE} Digitizer for Radio
                  Astronomy Applications},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {350--358},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827402},
  doi          = {10.5555/827246.827402},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DeschansBDSFMB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DoldanYR02,
  author       = {Ricardo Dold{\'{a}}n and
                  Alberto Y{\'{u}}fera and
                  Adoraci{\'{o}}n Rueda},
  title        = {A Continuous-Time Incremental Analog to Digital Converter},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {62--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827378},
  doi          = {10.5555/827246.827378},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DoldanYR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DutraGA02,
  author       = {F{\'{a}}bio da S. Dutra and
                  Federico G{\'{a}}lvez{-}Durand and
                  Vladimir Castro Alves},
  title        = {System on a Chip for Petroleum Pipeline Inspection},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {331--336},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827344},
  doi          = {10.5555/827246.827344},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DutraGA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FabbroF02,
  author       = {Paulo Augusto Dal Fabbro and
                  Carlos A. dos Reis Filho},
  title        = {An Integrated {CMOS} Instrumentation Amplifier with Improved {CMRR}},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {57--61},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827375},
  doi          = {10.5555/827246.827375},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FabbroF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FakhfakhLMD02,
  author       = {Ahmed Fakhfakh and
                  Herv{\'{e}} Levi and
                  No{\"{e}}lle Milet{-}Lewis and
                  Yves Danto},
  title        = {Behavioral Modeling of Analogue and Mixed Integrated Systems with
                  {VHDL-AMS} for {RF} Applications},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {308--316},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827396},
  doi          = {10.5555/827246.827396},
  timestamp    = {Tue, 18 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FakhfakhLMD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Farag02,
  author       = {Fathi A. Farag},
  title        = {Low-Voltage {ADC} for Sample to Serial Interface Applications},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {258--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827359},
  doi          = {10.5555/827246.827359},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Farag02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraMPF02,
  author       = {Luis Henrique de Carvalho Ferreira and
                  Robson L. Moreno and
                  Tales C. Pimenta and
                  Carlos A. R. Filho},
  title        = {An Offset Self-Correction Sample and Hold Circuit for Precise Applications
                  in Low Voltage {CMOS}},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {243--246},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827357},
  doi          = {10.5555/827246.827357},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraMPF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FeyD02,
  author       = {G{\"{o}}rschwin Fey and
                  Rolf Drechsler},
  title        = {Minimizing the Number of Paths in BDDs},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {359--364},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827403},
  doi          = {10.5555/827246.827403},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FeyD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FloresNCS02,
  author       = {M. G. C. Flores and
                  Marcelo Negreiros and
                  Luigi Carro and
                  Altamiro Amadeu Susin},
  title        = {A Noise Generator for Analog-to-Digital Converter Testing},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {135--140},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827369},
  doi          = {10.5555/827246.827369},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FloresNCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GlosekotterPGPK02,
  author       = {Peter Gl{\"{o}}sek{\"{o}}tter and
                  Christian Pacha and
                  Karl F. Goser and
                  Werner Prost and
                  Samuel O. Kim and
                  Holger van Husen and
                  Thorsten Reimann and
                  Franz{-}Josef Tegude},
  title        = {Asynchronous Circuit Design Based on the {RTBT} Monostable-Bistable
                  Logic Transition Element {(MOBILE)}},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {365--372},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827404},
  doi          = {10.5555/827246.827404},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GlosekotterPGPK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Hentschke0LCS002,
  author       = {Renato Fernandes Hentschke and
                  Felipe S. Marques and
                  Fernanda Lima and
                  Luigi Carro and
                  Altamiro Amadeu Susin and
                  Ricardo Reis},
  title        = {Analyzing Area and Performance Penalty of Protecting Different Digital
                  Modules with Hamming Code and Triple Modular Redundancy},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {95--100},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827386},
  doi          = {10.5555/827246.827386},
  timestamp    = {Mon, 24 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Hentschke0LCS002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Jess02,
  author       = {Jochen A. G. Jess},
  title        = {Parametric Yield Estimation for Deep Sub- Micron {VLSI} Circuits},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {387},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827367},
  doi          = {10.5555/827246.827367},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Jess02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JohannSR02,
  author       = {Marcelo de Oliveira Johann and
                  Glauco Borges Valim dos Santos and
                  Ricardo Augusto da Luz Reis},
  title        = {A {LEGAL} Algorithm Following Global Routing},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {271--276},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827350},
  doi          = {10.5555/827246.827350},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JohannSR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KrapfMSC02,
  author       = {Rafael C. Krapf and
                  J{\'{u}}lio C. B. de Mattos and
                  Gustavo Spellmeier and
                  Luigi Carro},
  title        = {A Study on a Garbage Collector for Embedded Applications},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {127--134},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827371},
  doi          = {10.5555/827246.827371},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KrapfMSC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KrapfMSC02a,
  author       = {Rafael C. Krapf and
                  J{\'{u}}lio C. B. de Mattos and
                  Gustavo Spellmeier and
                  Luigi Carro},
  title        = {Signal Processing Applications for Embedded Java Systems},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {209--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827393},
  doi          = {10.5555/827246.827393},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KrapfMSC02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KreiselmaierVWB02,
  author       = {Gerd Kreiselmaier and
                  Timo Vogt and
                  Norbert Wehn and
                  Friedbert Berens},
  title        = {Combined Turbo and Convolutional Decoder Architecture for {UMTS} Wireless
                  Applications},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {337--344},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827345},
  doi          = {10.5555/827246.827345},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KreiselmaierVWB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaCL02,
  author       = {Jos{\'{e}} Ant{\^{o}}nio Gomes de Lima and
                  Antonio Carlos Cavalcanti and
                  Solon Ferreira de Lucena},
  title        = {{APU:} Specification and Design of a Multi Algorithm {ATM} Policing
                  Unit {IP}},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {35--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827407},
  doi          = {10.5555/827246.827407},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaCL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LimaMF02,
  author       = {Ricardo N. B. Lima and
                  Marcio N. Miranda and
                  Jos{\'{e}} V. S. Filho},
  title        = {{HW/SW} Codesign of Handoff Protocol for Wireless {ATM} Networks Based
                  on Performance Optimization Using Genetic Algorithm},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {29--34},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827408},
  doi          = {10.5555/827246.827408},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LimaMF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarconCM02,
  author       = {C{\'{e}}sar Augusto Missio Marcon and
                  Ney Laert Vilar Calazans and
                  Fernando Gehm Moraes},
  title        = {Requirements, Primitives and Models for Systems Specification},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {323--330},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827355},
  doi          = {10.5555/827246.827355},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarconCM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquesSGS02,
  author       = {Lu{\'{\i}}s Cl{\'{e}}ber C. Marques and
                  Wouter A. Serdijn and
                  Carlos Galup{-}Montoro and
                  M{\'{a}}rcio C. Schneider},
  title        = {A Switched-MOSFET Programmable Low-Voltage Filter},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {254--257},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827356},
  doi          = {10.5555/827246.827356},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquesSGS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsS02,
  author       = {Jo{\~{a}}o Martins and
                  Marius Strum},
  title        = {Power Management Exploration for a Block Turbo Decoder},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {217--220},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827346},
  doi          = {10.5555/827246.827346},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MattosKC02,
  author       = {J{\'{u}}lio C. B. de Mattos and
                  M{\'{a}}rcio Eduardo Kreutz and
                  Luigi Carro},
  title        = {Low-Power Control Architecture for Embedded Processors},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {221--228},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827347},
  doi          = {10.5555/827246.827347},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MattosKC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MehlerZ02,
  author       = {Ronald W. Mehler and
                  Dian Zhou},
  title        = {Architectural Synthesis of Finite Impulse Response Digital Filters},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {20--28},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827363},
  doi          = {10.5555/827246.827363},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MehlerZ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MirandaGBBC02,
  author       = {Miguel Miranda and
                  C. Ghez and
                  Erik Brockmeyer and
                  Pieter Op de Beeck and
                  Francky Catthoor},
  title        = {Data Transfer and Storage Exploration for Real-Time Implementation
                  of a Digital Audio Broadcast Receiver on a Trimedia Processor},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {373--378},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827368},
  doi          = {10.5555/827246.827368},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MirandaGBBC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MonteiroPL02,
  author       = {Joarez B. Monteiro and
                  Antonio Petraglia and
                  Carlos Azeredo Leme},
  title        = {Capacitor Charge Control Technique Applied to Digitally Programmable
                  {IIR} Switched-Capacitor Filter},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {68--73},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827376},
  doi          = {10.5555/827246.827376},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MonteiroPL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoL002,
  author       = {Paulo S{\'{e}}rgio B. do Nascimento and
                  Manoel Eus{\'{e}}bio de Lima and
                  Paulo Maciel},
  title        = {{CDFG} -Petri Net Temporal Partitioning for Switching Context Applications},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {235--242},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827406},
  doi          = {10.5555/827246.827406},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoL002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NedjahM02,
  author       = {Nadia Nedjah and
                  Luiza de Macedo Mourelle},
  title        = {Two Hardware Implementations for the Montgomery Modular Multiplication:
                  Sequential versus Parallel},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {3--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827360},
  doi          = {10.5555/827246.827360},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NedjahM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NegreirosCS02,
  author       = {Marcelo Negreiros and
                  Luigi Carro and
                  Altamiro Amadeu Susin},
  title        = {A Statistical Sampler for Increasing Analog Circuits Observability},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {141--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827370},
  doi          = {10.5555/827246.827370},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NegreirosCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NicolescuVRRV02,
  author       = {Bogdan Nicolescu and
                  Raoul Velazco and
                  Matteo Sonza Reorda and
                  Maurizio Rebaudengo and
                  Massimo Violante},
  title        = {A Software Fault Tolerance Method for Safety-Critical Systems: Effectiveness
                  and Drawbacks},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {101--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827384},
  doi          = {10.5555/827246.827384},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NicolescuVRRV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraMD02,
  author       = {Wagner Luiz Alves de Oliveira and
                  Norian Marranghello and
                  Furio Damiani},
  title        = {Exception Handling with Petri Net for Digital Systems},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {229--234},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827405},
  doi          = {10.5555/827246.827405},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraMD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OrtizMIG02,
  author       = {Alberto Garc{\'{\i}}a Ortiz and
                  Tudor Murgan and
                  Leandro Soares Indrusiak and
                  Manfred Glesner},
  title        = {Power Consumption in Point-to-Point Interconnect Architectures},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {155--162},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827349},
  doi          = {10.5555/827246.827349},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OrtizMIG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PalmaMM0C02,
  author       = {Jos{\'{e}} Carlos S. Palma and
                  Aline Vieira de Mello and
                  Leandro M{\"{o}}ller and
                  Fernando Moraes and
                  Ney Calazans},
  title        = {Core Communication Interface for FPGAs},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {183--190},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827400},
  doi          = {10.5555/827246.827400},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PalmaMM0C02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PanatoB002,
  author       = {Alex Panato and
                  Marcelo Barcelos and
                  Ricardo Reis},
  title        = {An {IP} of an Advanced Encryption Standard for Altera" Devices},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {197--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827391},
  doi          = {10.5555/827246.827391},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PanatoB002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PereiraBP02,
  author       = {Jacqueline S. Pereira and
                  Fernando A. P. Bar{\'{u}}qui and
                  Antonio Petraglia},
  title        = {Analog Decimator {IC} in Direct-form Polyphase Structure},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {74--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827377},
  doi          = {10.5555/827246.827377},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PereiraBP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Rabaey02,
  author       = {Jan M. Rabaey},
  title        = {Ultra Low-Energy Transceivers for Wireless Sensor Networks},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {386},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827364},
  doi          = {10.5555/827246.827364},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Rabaey02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Renovell02,
  author       = {Michel Renovell},
  title        = {A Structural Test Methodology for SRAM-Based FPGAs},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {385},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827365},
  doi          = {10.5555/827246.827365},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Renovell02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosC02,
  author       = {Henrique Costa de Moura Santos and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  title        = {{CMOS} {OTA} Sizing Using {ACM} Model in a Graphical Approach},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {289--295},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827398},
  doi          = {10.5555/827246.827398},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SawickiBl002,
  author       = {Sandro Sawicki and
                  Lisane B. de Brisolara and
                  Leandro S. lndrusiak and
                  Ricardo Reis},
  title        = {Collaborative Design Using a Shared Object Spaces Infrastructure},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {163--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827389},
  doi          = {10.5555/827246.827389},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SawickiBl002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilveiraF02,
  author       = {Fernando Silveira and
                  Denis Flandre},
  title        = {Operational Amplifier Power Optimization for a Given Total (Slewing
                  plus Linear) Settling Time},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {247--253},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827358},
  doi          = {10.5555/827246.827358},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilveiraF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SoaresNCS02,
  author       = {Andr{\'{e}} Borin Soares and
                  Marcelo Negreiros and
                  Luigi Carro and
                  Altamiro Amadeu Susin},
  title        = {Analysis and Implementation of a Stochastic Multiplier for Electrical
                  Power Measurement},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {9--13},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827362},
  doi          = {10.5555/827246.827362},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SoaresNCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TogniSCRR02,
  author       = {Jo{\~{a}}o Daniel Togni and
                  Felipe Ribeiro Schneider and
                  Vin{\'{\i}}cius P. Correia and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Automatic Generation of Digital Cell Libraries},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {265--270},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827353},
  doi          = {10.5555/827246.827353},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TogniSCRR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/VanspauwenBCV02,
  author       = {Niels Vanspauwen and
                  Edna Barros and
                  S{\'{e}}rgio Cavalcante and
                  Carlos Valderrama},
  title        = {On the Importance, Problems and Solutions of Pointer Synthesis},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {317--322},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827354},
  doi          = {10.5555/827246.827354},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/VanspauwenBCV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WilkeGBP002,
  author       = {Gustavo Wilke and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel and
                  M{\'{a}}rcio Bystronski and
                  Ana Cristina Medina Pinto and
                  Ricardo Reis},
  title        = {Finding the Critical Delay of Combinational Blocks by Floating Vector
                  Simulation and Path Tracing},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {277--282},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827351},
  doi          = {10.5555/827246.827351},
  timestamp    = {Tue, 25 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WilkeGBP002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ZeferinoKCS02,
  author       = {Cesar A. Zeferino and
                  M{\'{a}}rcio Eduardo Kreutz and
                  Luigi Carro and
                  Altamiro Amadeu Susin},
  title        = {A Study on Communication Issues for Systems-on-Chip},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {121--126},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827373},
  doi          = {10.5555/827246.827373},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/ZeferinoKCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Zigiottod02,
  author       = {Anderson Cattelan Zigiotto and
                  Roberto d'Amore},
  title        = {A Low-Cost {FPGA} Implementation of the Advanced Encryption Standard
                  Algorithm},
  booktitle    = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  pages        = {191--196},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://dl.acm.org/doi/10.5555/827246.827394},
  doi          = {10.5555/827246.827394},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Zigiottod02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2002,
  title        = {Proceedings of the 15th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14,
                  2002},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://www.computer.org/csdl/proceedings/sbcci/2002/12OmNyPQ4vE},
  doi          = {10.5555/827246},
  isbn         = {0-7695-1807-9},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001AC0P01,
  author       = {Fernando Moraes and
                  Alexandre M. Amory and
                  Ney Calazans and
                  Eduardo Bezerra and
                  Juracy Petrini},
  title        = {Using the {CAN} Protocol and Reconfigurable Computing Technology for
                  Web-Based Smart House Auto},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {38--43},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883919},
  doi          = {10.5555/882483.883919},
  timestamp    = {Fri, 03 Jun 2022 10:49:44 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001AC0P01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001B001,
  author       = {Eduardo Costa and
                  Sergio Bampi and
                  Jos{\'{e}} Monteiro},
  title        = {Power Efficient Arithmetic Operand Encoding},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {201--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883905},
  doi          = {10.5555/882483.883905},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001B001.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001FB01,
  author       = {Paulo Maciel and
                  Fred Cruz Filho and
                  Edna Barros},
  title        = {A Petri Net Based Method for Resource Estimation: An Approach Considering
                  Data-Dependency, Casual and Temporal Precedences},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {78--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883901},
  doi          = {10.5555/882483.883901},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001FB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001FB01a,
  author       = {Fabian Vargas and
                  Rubem Dutra Ribeiro Fagundes and
                  Daniel Barros Jr.},
  title        = {Summarizing a New Approach to Design Speech Recognition Systems: {A}
                  Reliable Noise-Immune {HW-SW} Version},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {109--114},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883899},
  doi          = {10.5555/882483.883899},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001FB01a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/0001PG01,
  author       = {J{\"{u}}rgen Becker and
                  Thilo Pionteck and
                  Manfred Glesner},
  title        = {Adaptive Systems-on-Chip: Architectures, Technologies and Applications},
  booktitle    = {Proceedings of the 14th Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2001, Pirenopolis, Brazil, September 10-15,
                  2001},
  pages        = {2--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://dl.acm.org/doi/10.5555/882483.883923},
  doi          = {10.5555/882483.883923},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/0001PG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics