default search action
Search dblp for Publications
export results for "stream:conf/iolts:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/iolts/0001GHZ24, author = {Fabian Vargas and Vache Galstyan and Gurgen Harutyunyan and Yervant Zorian}, title = {On-Chip Sensor to Monitor Aging Evolution in FinFET-Based Memories}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616091}, doi = {10.1109/IOLTS60994.2024.10616091}, timestamp = {Tue, 13 Aug 2024 14:54:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/0001GHZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/0001MMLCP24, author = {Martin Oma{\~{n}}a and A. Manfredi and Cecilia Metra and R. Locatelli and M. Chiavacci and S. Petrucci}, title = {Silent Data Corruption and Reliability Risks due to Faults Affecting High Performance Microprocessors' Caches}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616059}, doi = {10.1109/IOLTS60994.2024.10616059}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/0001MMLCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/0002DFVF24, author = {Francesco Tosoni and Nicola Dall'Ora and Enrico Fraccaroli and Sara Vinco and Franco Fummi}, title = {Assessing Robustness of Smart Systems via Multi-domain Analog Fault Simulation}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616082}, doi = {10.1109/IOLTS60994.2024.10616082}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/0002DFVF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AhmadiAS024, author = {Mahya Morid Ahmadi and Lilas Alrahis and Ozgur Sinanoglu and Muhammad Shafique}, title = {Camo-DNN: Layer Camouflaging to Protect DNNs against Timing Side-Channel Attacks}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616065}, doi = {10.1109/IOLTS60994.2024.10616065}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AhmadiAS024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AhmadilivaniMRD24, author = {Mohammad Hasan Ahmadilivani and Seyedhamidreza Mousavi and Jaan Raik and Masoud Daneshtalab and Maksim Jenihhin}, title = {Cost-Effective Fault Tolerance for CNNs Using Parameter Vulnerability Based Hardening and Pruning}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616072}, doi = {10.1109/IOLTS60994.2024.10616072}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AhmadilivaniMRD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AldomaBC024, author = {Adri{\`{a}} Aldom{\`{a}} and Axel Brando and Francisco J. Cazorla and Jaume Abella}, title = {Safety-Relevant AI-Based System Robustification with Neural Network Ensembles}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616095}, doi = {10.1109/IOLTS60994.2024.10616095}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AldomaBC024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlshaerAEB24, author = {Ihab Alshaer and Ahmed Al{-}Kaf and Valentin Egloff and Vincent Beroulle}, title = {Modeling Clock Glitch Fault Injection Effects on a {RISC-V} Microcontroller}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616064}, doi = {10.1109/IOLTS60994.2024.10616064}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlshaerAEB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BartolomucciDCR24, author = {Michelangelo Bartolomucci and Nikolaos Ioannis Deligiannis and Riccardo Cantoro and Matteo Sonza Reorda}, title = {Fault Grading Techniques for Evaluating Software-Based Self-Test with Respect to Small Delay Defects}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616077}, doi = {10.1109/IOLTS60994.2024.10616077}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BartolomucciDCR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ColucciS024, author = {Alessio Colucci and Andreas Steininger and Muhammad Shafique}, title = {SBanTEM: {A} Novel Methodology for Sparse Band Tensors as Soft-Error Mitigation in Sparse Convolutional Neural Networks}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616070}, doi = {10.1109/IOLTS60994.2024.10616070}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ColucciS024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ColucciS024a, author = {Alessio Colucci and Andreas Steininger and Muhammad Shafique}, title = {{EISFINN:} On the Role of Efficient Importance Sampling in Fault Injection Campaigns for Neural Network Robustness Analysis}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616075}, doi = {10.1109/IOLTS60994.2024.10616075}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ColucciS024a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CrescenzoRABVBB24, author = {Diamante Simone Crescenzo and Rafael Carrera Rodriguez and Riccardo Alidori and Florent Bruguier and Emanuele Valea and Pascal Benoit and Alberto Bosio}, title = {Hardware Accelerator for {FIPS} 202 Hash Functions in Post-Quantum Ready SoCs}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616067}, doi = {10.1109/IOLTS60994.2024.10616067}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CrescenzoRABVBB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Creti0MB24, author = {Sara Cret{\'{\i}} and Martin Oma{\~{n}}a and Cecilia Metra and Gianni Borelli}, title = {Reliability of {AI} in Predicting the State of Health of Li-Ion Batteries\({}^{\mbox{*}}\)}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616089}, doi = {10.1109/IOLTS60994.2024.10616089}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Creti0MB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Dharavathu024, author = {Anudeep Dharavathu and Benjamin Tan}, title = {Investigating the Feasibility of eFPGA-based Hardware Patching}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616068}, doi = {10.1109/IOLTS60994.2024.10616068}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Dharavathu024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EdaHT24, author = {Ryusei Eda and Kota Hisafuru and Nozomu Togawa}, title = {Anomalous IoT Behavior Detection by Generated Power Waveforms with Hyper-parameter Tuning}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616055}, doi = {10.1109/IOLTS60994.2024.10616055}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EdaHT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspositoGCLR24, author = {Giuseppe Esposito and Juan{-}David Guerrero{-}Balaguera and Josie E. Rodriguez Condia and Marco Levorato and Matteo Sonza Reorda}, title = {Enhancing the Reliability of Split Computing Deep Neural Networks}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616071}, doi = {10.1109/IOLTS60994.2024.10616071}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspositoGCLR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ForlinACCRRFO24, author = {Bruno Forlin and Edian B. Annink and Elijah Cishugi and Carlo Cazzaniga and Paolo Rech and Gerard K. Rauwerda and Gianluca Furano and Marco Ottavi}, title = {Neutron Beam Evaluation of Probabilistic Data Structure-based Online Checkers}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616084}, doi = {10.1109/IOLTS60994.2024.10616084}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ForlinACCRRFO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhavamiSSW24, author = {Behnam Ghavami and Mohammad Shahidzadeh and Lesley Shannon and Steve Wilton}, title = {{ZOBNN:} Zero-Overhead Dependable Design of Binary Neural Networks with Deliberately Quantized Parameters}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616090}, doi = {10.1109/IOLTS60994.2024.10616090}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GhavamiSSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GogebakanMCRSC24, author = {Anil Bayram G{\"{o}}gebakan and Enrico Magliano and Alessio Carpegna and Annachiara Ruospo and Alessandro Savino and Stefano Di Carlo}, title = {SpikingJET: Enhancing Fault Injection for Fully and Convolutional Spiking Neural Networks}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616060}, doi = {10.1109/IOLTS60994.2024.10616060}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GogebakanMCRSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GuillemeKHKC24, author = {Wilfread Guillem{\'{e}} and Angeliki Kritikakou and Youri Helen and C{\'{e}}dric Killian and Daniel Chillet}, title = {{VANDOR:} Mitigating SEUs into Quantized Neural Networks}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616081}, doi = {10.1109/IOLTS60994.2024.10616081}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GuillemeKHKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KumarRKPA24, author = {Gaurav Kumar and Anjum Riaz and Pardeep Kumar and Yamuna Prasad and Satyadev Ahlawat}, title = {On Evaluating Test Response Obfuscation and Encryption Countermeasures}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616066}, doi = {10.1109/IOLTS60994.2024.10616066}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KumarRKPA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MacieiraGGH0G24, author = {Thiago Macieira and Sankar Gurumurthy and Sudhanva Gurumurthi and Amr Haggag and George Papadimitriou and Dimitris Gizopoulos}, title = {Silent Data Corruptions in Computing: Understand and Quantify}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616056}, doi = {10.1109/IOLTS60994.2024.10616056}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MacieiraGGH0G24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MahboubiGCARM24, author = {V. Mahboubi and {\'{A}}. G{\'{o}}mez and Antonio Calomarde and Daniel Arum{\'{\i}} and R. Rodr{\'{\i}}guez and Salvador Manich}, title = {On the Fine Tuning of {RRAM} Resistance Under Variability Using Current Pulses at {SET}}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616054}, doi = {10.1109/IOLTS60994.2024.10616054}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MahboubiGCARM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaidlGKP24, author = {Anton Maidl and Ma{\"{e}}l Gay and Osnat Keren and Ilia Polian}, title = {Refinement and Empirical Side-Channel Analysis of Inner Product Masking with Robust Error Detection}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616073}, doi = {10.1109/IOLTS60994.2024.10616073}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MaidlGKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MongelliXFRGV24, author = {Gianmarco Mongelli and Xhesila Xhafa and Eric Faehn and Dylan Robins and Patrick Girard and Arnaud Virazel}, title = {A Graph-Based Methodology for Speeding up Cell-Aware Model Generation}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616062}, doi = {10.1109/IOLTS60994.2024.10616062}, timestamp = {Tue, 10 Dec 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MongelliXFRGV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NabhanDRDS24, author = {Roukoz Nabhan and Jean{-}Max Dutertre and Jean{-}Baptiste Rigaud and Jean{-}Luc Danger and Laurent Sauvage}, title = {{EM} Fault Injection-Induced Clock Glitches: From Mechanism Analysis to Novel Sensor Design}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616074}, doi = {10.1109/IOLTS60994.2024.10616074}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NabhanDRDS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ObertiASPC24, author = {Franco Oberti and Fabrizio Abrate and Alessandro Savino and Filippo Parisi and Stefano Di Carlo}, title = {Navigating the road to automotive cybersecurity compliance}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616052}, doi = {10.1109/IOLTS60994.2024.10616052}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ObertiASPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PanagiotaRMJCA24, author = {Papavramidou Panagiota and Sebastien Ricavy and Christopher Mounet and Carine Jahan and Niccolo Castellani and Fran{\c{c}}ois Andrieu}, title = {A synergistic fault tolerance framework for Mbit 28nm embedded {RRAM}}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616063}, doi = {10.1109/IOLTS60994.2024.10616063}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PanagiotaRMJCA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PessiaGSCLR24, author = {Francesco Pessia and Juan{-}David Guerrero{-}Balaguera and Robert Limas Sierra and Josie E. Rodriguez Condia and Marco Levorato and Matteo Sonza Reorda}, title = {Effective Application-level Error Modeling of Permanent Faults on {AI} Accelerators}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616087}, doi = {10.1109/IOLTS60994.2024.10616087}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PessiaGSCLR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PintoKDT24, author = {Kenrick Xavier Pinto and Krishnaja Kodali and Abhishek Das and Nur A. Touba}, title = {Double Adjacent Error Correction in {RRAM} Matrix Multiplication using Weighted Checksums}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616083}, doi = {10.1109/IOLTS60994.2024.10616083}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PintoKDT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Rodriguez-Ferrandez24, author = {Ivan Rodriguez{-}Ferrandez and Leonidas Kosmidis and Maris Tali and David Steenari and Alex Hands and Camille B{\'{e}}langer{-}Champagne}, title = {Proton Evaluation of Single Event Effects in the {NVIDIA} {GPU} Orin SoM: Understanding Radiation Vulnerabilities Beyond the SoC}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616076}, doi = {10.1109/IOLTS60994.2024.10616076}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Rodriguez-Ferrandez24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RostamiHAIG24, author = {Hossein Rostami and Mostafa Hosseini and Ali Azarpeyvand and Mohammad Reza Heidari Iman and Tara Ghasempouri}, title = {Automatic High Functional Coverage Stimuli Generation for Assertion-based Verification}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616069}, doi = {10.1109/IOLTS60994.2024.10616069}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RostamiHAIG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RusuDTGBP24, author = {Alecsandra Rusu and Emilian David and Marina Dana Topa and Vasile Grosu and Andi Buzo and Georg Pelz}, title = {On Approaching Multivariate {IC} Pre-silicon Verification Using ML-based Adaptive Algorithms}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616057}, doi = {10.1109/IOLTS60994.2024.10616057}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RusuDTGBP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SahaMAC24, author = {Anurup Saha and Kwondo Ma and Chandramouli N. Amarnath and Abhijit Chatterjee}, title = {Efficient Optimized Testing of Resistive {RAM} Based Convolutional Neural Networks}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616092}, doi = {10.1109/IOLTS60994.2024.10616092}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SahaMAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SantosTK24, author = {Fernando Fernandes dos Santos and Marcello Traiola and Angeliki Kritikakou}, title = {Combining Fault Simulation and Beam Data for {CNN} Error Rate Estimation on {RISC-V} Commercial Platforms}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616094}, doi = {10.1109/IOLTS60994.2024.10616094}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SantosTK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SapuiMT24, author = {Brojogopal Sapui and Sergej Meschkov and Mehdi B. Tahoori}, title = {Side-Channel Attack with Fault Analysis on Memristor-based Computation-in-Memory}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616088}, doi = {10.1109/IOLTS60994.2024.10616088}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SapuiMT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ScaranoMSCT24, author = {Nicola Scarano and Luca Mannella and Alessandro Savino and Stefano Di Carlo and Politecnico Di Torino}, title = {Can social media shape the security of next-generation connected vehicles?}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616053}, doi = {10.1109/IOLTS60994.2024.10616053}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ScaranoMSCT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhangWWTN24, author = {Zhe Zhang and Christian Weis and Norbert Wehn and Mehdi B. Tahoori and Sani R. Nassif}, title = {Do Radiation and Aging Impact DVFS? TCAD-based Analysis on 22 nm {FDSOI} Latches}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616051}, doi = {10.1109/IOLTS60994.2024.10616051}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZhangWWTN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhupaNOM24, author = {M. Zhupa and M. Naldi and Maira Oma{\~{n}}a and Cecilia Metra}, title = {On the Reliability of Clock Monitoring Units for Safety Critical Applications' Microcontrollers}, booktitle = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024.10616093}, doi = {10.1109/IOLTS60994.2024.10616093}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZhupaNOM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2024, title = {30th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2024, Rennes, France, July 3-5, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IOLTS60994.2024}, doi = {10.1109/IOLTS60994.2024}, isbn = {979-8-3503-7055-3}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AhmadiASS23, author = {Mahya Morid Ahmadi and Lilas Alrahis and Ozgur Sinanoglu and Muhammad Shafique}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {ShapeShifter: Protecting FPGAs from Side-Channel Attacks with Isofunctional Heterogeneous Modules}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224883}, doi = {10.1109/IOLTS59296.2023.10224883}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AhmadiASS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmarnathC23, author = {Chandramouli N. Amarnath and Abhijit Chatterjee}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A Novel Approach to Error Resilience in Online Reinforcement Learning}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224892}, doi = {10.1109/IOLTS59296.2023.10224892}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmarnathC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AslanCKJKGFA23, author = {Yunus Emre Aslan and Florian Cacho and T. Kumar and D. K. Janardan and A. Kumar and F. Giner and M. Faurichon and Lorena Anghel}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Minimum {SRAM} Retention Voltage: Insight about optimizing Power Efficiency across Temperature Profile, Process Variation and Aging}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224895}, doi = {10.1109/IOLTS59296.2023.10224895}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AslanCKJKGFA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BernardiCIAGT23, author = {Paolo Bernardi and Lorenzo Cardone and Giusy Iaria and Davide Appello and Giuseppe Garozzo and Vincenzo Tancorre}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {About the Correlation between Logical Identified Faulty Gates and their Layout Characteristics}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224897}, doi = {10.1109/IOLTS59296.2023.10224897}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BernardiCIAGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CayoMRV23, author = {Jose Cayo and Matias Melivilu and Antonio Rubio and Ioannis Vourkas}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {On the Development of Prognostics and System Health Management {(PHM)} Techniques for ReRAM Applications}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224898}, doi = {10.1109/IOLTS59296.2023.10224898}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CayoMRV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChatzitsompanisK23, author = {Georgios Chatzitsompanis and Georgios Karakonstantis}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {On the Facilitation of Voltage Over-Scaling and Minimization of Timing Errors in Floating-Point Multipliers}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224887}, doi = {10.1109/IOLTS59296.2023.10224887}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChatzitsompanisK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChoudharyPS23, author = {Raj Kumar Choudhary and Janeel Patel and Virendra Singh}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {ERrOR: Improving Performance and Fault Tolerance Using Early Execution}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224863}, doi = {10.1109/IOLTS59296.2023.10224863}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChoudharyPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Dixit23, author = {Harish Dixit}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Keytone: Silent Data Corruptions at Scale}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224872}, doi = {10.1109/IOLTS59296.2023.10224872}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Dixit23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DouadiNMVB23, author = {Aghiles Douadi and Giorgio Di Natale and Paolo Maistri and Elena{-}Ioana Vatajelu and Vincent Beroulle}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A Study of High Temperature Effects on Ring Oscillator Based Physical Unclonable Functions}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224886}, doi = {10.1109/IOLTS59296.2023.10224886}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DouadiNMVB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EsmaeilianDKBMFVMN23, author = {Maryam Esmaeilian and Aghiles Douadi and Zahra Kazemi and Vincent Beroulle and Amir{-}Pasha Mirbaha and Mahdi Fazeli and Elena{-}Ioana Vatajelu and Paolo Maistri and Giorgio Di Natale}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Experimental Evaluation of Delayed-Based Detectors Against Power-off Attack}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224876}, doi = {10.1109/IOLTS59296.2023.10224876}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/EsmaeilianDKBMFVMN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GavariniRS23, author = {Gabriele Gavarini and Annachiara Ruospo and Ernesto S{\'{a}}nchez}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Evaluation and Mitigation of Faults Affecting Swin Transformers}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224882}, doi = {10.1109/IOLTS59296.2023.10224882}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GavariniRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhasemiMKGT23, author = {Seyedeh Maryam Ghasemi and Sergej Meschkov and Jonas Krautter and Dennis R. E. Gnad and Mehdi B. Tahoori}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{SLM} {ISA} and Hardware Extensions for {RISC-V} Processors}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224880}, doi = {10.1109/IOLTS59296.2023.10224880}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GhasemiMKGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhoshGS23, author = {Prokash Ghosh and Yogesh Gholap and Virendra Singh}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {On-Chip {SRAM} Disclosure Attack Prevention Technique for SoC}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224860}, doi = {10.1109/IOLTS59296.2023.10224860}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GhoshGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GutierrezNV23, author = {Sergio Vinagrero Gutierrez and Giorgio Di Natale and Elena{-}Ioana Vatajelu}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {On-Line Method to Limit Unreliability and Bit-Aliasing in {RO-PUF}}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224877}, doi = {10.1109/IOLTS59296.2023.10224877}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GutierrezNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HondaMI23, author = {Yusei Honda and Yutaka Masuda and Tohru Ishihara}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Feedback-Tuned Fuzzing for Accelerating Quality Verification of Approximate Computing Design}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224891}, doi = {10.1109/IOLTS59296.2023.10224891}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HondaMI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IwamotoH23, author = {Riku Iwamoto and Masanori Hashimoto}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Avoiding Soft Error-Induced Illegal Memory Accesses in {GPU} with Inter-Thread Communication}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224889}, doi = {10.1109/IOLTS59296.2023.10224889}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/IwamotoH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KVRS23, author = {Raghunandana K. K and B. K. S. V. L. Varaprasad and Matteo Sonza Reorda and Virendra Singh}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{TREFU:} An Online Error Detecting and Correcting Fault Tolerant {GPGPU} Architecture}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224865}, doi = {10.1109/IOLTS59296.2023.10224865}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KVRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KrishnamurthyPSTKK23, author = {Prashanth Krishnamurthy and Hammond Pearce and Virinchi Roy Surabhi and Joshua Trujillo and Ramesh Karri and Farshad Khorrami}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {An Integrated Testbed for Trojans in Printed Circuit Boards with Fuzzing Capabilities}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224878}, doi = {10.1109/IOLTS59296.2023.10224878}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KrishnamurthyPSTKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KumarRPA23, author = {Gaurav Kumar and Anjum Riaz and Yamuna Prasad and Satyadev Ahlawat}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {On Evaluating the Security of Dynamic Scan Obfuscation Scheme}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224896}, doi = {10.1109/IOLTS59296.2023.10224896}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KumarRPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LegiestTBDV23, author = {Wouter Legiest and Furkan Turan and Michiel Van Beirendonck and Jan{-}Pieter D'Anvers and Ingrid Verbauwhede}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Neural Network Quantisation for Faster Homomorphic Encryption}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224890}, doi = {10.1109/IOLTS59296.2023.10224890}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LegiestTBDV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LylinaHJKW23, author = {Natalia Lylina and Stefan Holst and Hanieh Jafarzadeh and Alexandra Kourfali and Hans{-}Joachim Wunderlich}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Exploiting the Error Resilience of the Preconditioned Conjugate Gradient Method for Energy and Delay Optimization}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224885}, doi = {10.1109/IOLTS59296.2023.10224885}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LylinaHJKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MourisGT23, author = {Dimitris Mouris and Charles Gouert and Nektarios Georgios Tsoutsos}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{\textdollar}{\textbackslash}text\{MP\}{\textbackslash}ell{\textbackslash}circ {\textbackslash}mathrm\{C\}{\textdollar}: Privacy-Preserving {IP} Verification Using Logic Locking and Secure Multiparty Computation}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224859}, doi = {10.1109/IOLTS59296.2023.10224859}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MourisGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NikiemaPACKKLOPT23, author = {Pegdwende Romaric Nikiema and Alessandro Palumbo and Allan Aasma and Luca Cassano and Angeliki Kritikakou and Ari Kulmala and Jari Lukkarila and Marco Ottavi and Rafail Psiakis and Marcello Traiola}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Towards Dependable {RISC-V} Cores for Edge Computing Devices}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224862}, doi = {10.1109/IOLTS59296.2023.10224862}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NikiemaPACKKLOPT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PapadimitriouGDS23, author = {George Papadimitriou and Dimitris Gizopoulos and Harish Dattatraya Dixit and Sriram Sankar}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Silent Data Corruptions: The Stealthy Saboteurs of Digital Integrity}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224870}, doi = {10.1109/IOLTS59296.2023.10224870}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PapadimitriouGDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RodriguezFerrandezKTS23, author = {Ivan Rodriguez{-}Ferrandez and Leonidas Kosmidis and Maris Tali and David Steenari}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Space Shuttle: {A} Test Vehicle for the Reliability of the SkyWater 130nm {PDK} for Future Space Processors}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224899}, doi = {10.1109/IOLTS59296.2023.10224899}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RodriguezFerrandezKTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SarrasecaAFRCLCCA23, author = {Marcel Sarraseca and Sergi Alcaide and Francisco Fuentes and Juan Carlos Rodriguez and Feng Chang and Ilham Lasfar and Ramon Canal and Francisco J. Cazorla and Jaume Abella}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {SafeLS: An Open Source Implementation of a Lockstep {NOEL-V} {RISC-V} Core}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224867}, doi = {10.1109/IOLTS59296.2023.10224867}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SarrasecaAFRCLCCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SelgJER23, author = {Hardi Selg and Maksim Jenihhin and Peeter Ellervee and Jaan Raik}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {ML-Based Online Design Error Localization for {RISC-V} Implementations}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224864}, doi = {10.1109/IOLTS59296.2023.10224864}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SelgJER23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SioRPGA23, author = {Corrado De Sio and Daniele Rizzieri and Andrea Portaluri and Salvatore Gabriele La Greca and Sarah Azimi}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Radiation-Induced Errors in the Software Level of Real-Time Soft Processing System}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224884}, doi = {10.1109/IOLTS59296.2023.10224884}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SioRPGA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SugitaniNIFKLJEMJH23, author = {Shotaro Sugitani and Ryuichi Nakajima and Takafumi Ito and Jun Furuta and Kazutoshi Kobayashi and Mathieu Louvat and Francois Jacquet and Jean{-}Christophe Eloy and Olivier Montfort and Lionel Jure and Vincent Huard}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Radiation Hardness Evaluations of a Stacked Flip Flop in a 22 nm {FD-SOI} Process by Heavy-Ion Irradiation}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224879}, doi = {10.1109/IOLTS59296.2023.10224879}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SugitaniNIFKLJEMJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TebinaMZHM23, author = {Nasr{-}Eddine Ouldei Tebina and Laurent Maingault and Nacer{-}Eddine Zergainoh and Guillaume Hubert and Paolo Maistri}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Ray-Spect: Local Parametric Degradation for Secure Designs: An application to X-Ray Fault Injection}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224894}, doi = {10.1109/IOLTS59296.2023.10224894}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TebinaMZHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TissotBG23, author = {Pierre{-}Antoine Tissot and Lilian Bossuet and Vincent Grosso}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {BALoo: First and Efficient Countermeasure Dedicated to Persistent Fault Attacks}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224871}, doi = {10.1109/IOLTS59296.2023.10224871}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TissotBG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TompaziK23, author = {Styliani Tompazi and Georgios Karakonstantis}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Microarchitecture-Aware Timing Error Prediction via Deep Neural Networks}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224868}, doi = {10.1109/IOLTS59296.2023.10224868}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TompaziK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TsounisAP23, author = {Ioannis Tsounis and Dimitris Agiakatsikas and Mihalis Psarakis}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Detecting Hardware Faults in Approximate Adders via Minimum Redundancy}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224888}, doi = {10.1109/IOLTS59296.2023.10224888}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TsounisAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VargasBBS23, author = {Fabian Vargas and Douglas Borba and Juliano Benfica and Rizwan Tariq Syed}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Artificial Neural Network Accelerator for Classification of In-Field Conducted Noise in Integrated Circuits' {DC} Power Lines}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224874}, doi = {10.1109/IOLTS59296.2023.10224874}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/VargasBBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhangWWWT23, author = {Z. Zhang and Zhihang Wu and Christian Weis and Norbert Wehn and Mehdi Baradaran Tahoori}, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A Learning-Based Approach for Single Event Transient Analysis in Pass Transistor Logic}, booktitle = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023.10224869}, doi = {10.1109/IOLTS59296.2023.10224869}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZhangWWWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2023, editor = {Alessandro Savino and Michail Maniatakos and Stefano Di Carlo and Dimitris Gizopoulos}, title = {29th International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2023, Crete, Greece, July 3-5, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IOLTS59296.2023}, doi = {10.1109/IOLTS59296.2023}, isbn = {979-8-3503-4135-5}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmarnathMMC22, author = {Chandramouli N. Amarnath and Mohamed Mejri and Kwondo Ma and Abhijit Chatterjee}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Soft Error Resilient Deep Learning Systems Using Neuron Gradient Statistics}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897815}, doi = {10.1109/IOLTS56730.2022.9897815}, timestamp = {Thu, 06 Oct 2022 14:48:19 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmarnathMMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BaheriGCL22, author = {Betis Baheri and Qiang Guan and Vipin Chaudhary and Ang Li}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Quantum Noise in the Flow of Time: {A} Temporal Study of the Noise in Quantum Computers}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897404}, doi = {10.1109/IOLTS56730.2022.9897404}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BaheriGCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BalaKJO22, author = {Anu Bala and Saurabh Khandelwal and Abusaleh M. Jabir and Marco Ottavi}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Yield Evaluation of Faulty Memristive Crossbar Array-based Neural Networks with Repairability}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897183}, doi = {10.1109/IOLTS56730.2022.9897183}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BalaKJO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BellarminoCHKSS22, author = {Nicol{\`{o}} Bellarmino and Riccardo Cantoro and Martin Huch and Tobias Kilian and Ulf Schlichtmann and Giovanni Squillero}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Microcontroller Performance Screening: Optimizing the Characterization in the Presence of Anomalous and Noisy Data}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897769}, doi = {10.1109/IOLTS56730.2022.9897769}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BellarminoCHKSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BernardiCCDFFGG22, author = {Paolo Bernardi and Riccardo Cantoro and Anthony Coyette and W. Dobbeleare and Moritz Fieback and Andrea Floridia and G. Gielenk and Jhon Gomez and Michelangelo Grosso and Andrea Guerriero and Iacopo Guglielminetti and Said Hamdioui and Giorgio Insinga and N. Mautone and Nunzio Mirabella and Sandro Sartoni and Matteo Sonza Reorda and Rudolf Ullmann and Ronny Vanhooren and N. Xamak and Lizhou Wu}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Recent Trends and Perspectives on Defect-Oriented Testing}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897647}, doi = {10.1109/IOLTS56730.2022.9897647}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BernardiCCDFFGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CasciolaGD0RM22, author = {Nadir Casciola and Edoardo Giusto and Emanuele Dri and Daniel Oliveira and Paolo Rech and Bartolomeo Montrucchio}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Understanding the Impact of Cutting in Quantum Circuits Reliability to Transient Faults}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897308}, doi = {10.1109/IOLTS56730.2022.9897308}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CasciolaGD0RM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CavagneroSCATR22, author = {Niccol{\`{o}} Cavagnero and Fernando Fernandes dos Santos and Marco Ciccone and Giuseppe Averta and Tatiana Tommasi and Paolo Rech}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Transient-Fault-Aware Design and Training to Enhance DNNs Reliability with Zero-Overhead}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897813}, doi = {10.1109/IOLTS56730.2022.9897813}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CavagneroSCATR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChaudhuriBC22, author = {Arjun Chaudhuri and Sanmitra Banerjee and Krishnendu Chakrabarty}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Structural Test Generation for {AI} Accelerators using Neural Twins}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897773}, doi = {10.1109/IOLTS56730.2022.9897773}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChaudhuriBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CorrenteBR22, author = {Giovanni Corrente and Nella Bentivegna and Sebastiano Russo}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Power Cycling Body Diode Current Flow on SiC {MOSFET} Device}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897452}, doi = {10.1109/IOLTS56730.2022.9897452}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CorrenteBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CruzVRHD22, author = {William Souza da Cruz and Raphael Viera and Jean{-}Baptiste Rigaud and Guillaume Hubert and Jean{-}Max Dutertre}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {An Experimentally Tuned Compact Electrical Model for Laser Fault Injection Simulation}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897189}, doi = {10.1109/IOLTS56730.2022.9897189}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CruzVRHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuchrauG22, author = {Georg Duchrau and Michael G{\"{o}}ssel}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A New Decoding Method for Double Error Correcting Cross Parity Codes}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897284}, doi = {10.1109/IOLTS56730.2022.9897284}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DuchrauG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FiorucciNDR22, author = {Tiziano Fiorucci and Giorgio Di Natale and Jean{-}Marc Daveau and Philippe Roche}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Software Product Reliability Based on Basic Block Metrics Recomposition}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897289}, doi = {10.1109/IOLTS56730.2022.9897289}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FiorucciNDR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GavariniSRBS22, author = {Gabriele Gavarini and Diego Stucchi and Annachiara Ruospo and Giacomo Boracchi and Ernesto S{\'{a}}nchez}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Open-Set Recognition: an Inexpensive Strategy to Increase {DNN} Reliability}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897805}, doi = {10.1109/IOLTS56730.2022.9897805}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GavariniSRBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrossiO0MM22, author = {Marco Grossi and Martin Oma{\~{n}}a and Daniele Rossi and Biagio Marzulli and Cecilia Metra}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Novel {BTI} Robust Ring-Oscillator-Based Physically Unclonable Function}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897808}, doi = {10.1109/IOLTS56730.2022.9897808}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GrossiO0MM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Guerrero-Balaguera22, author = {Juan{-}David Guerrero{-}Balaguera and Robert Limas Sierra and Matteo Sonza Reorda}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Effective fault simulation of GPU's permanent faults for reliability estimation of CNNs}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897823}, doi = {10.1109/IOLTS56730.2022.9897823}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Guerrero-Balaguera22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GuhaSM22, author = {Krishnendu Guha and Sangeet Saha and Klaus D. McDonald{-}Maier}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{SENAS:} Security driven ENergy Aware Scheduler for Real Time Approximate Computing Tasks on Multi-Processor Systems}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897811}, doi = {10.1109/IOLTS56730.2022.9897811}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GuhaSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HectorMDD22, author = {Kevin Hector and Pierre{-}Alain Mo{\"{e}}llic and Mathieu Dumont and Jean{-}Max Dutertre}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A Closer Look at Evaluating the Bit-Flip Attack Against Deep Neural Networks}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897693}, doi = {10.1109/IOLTS56730.2022.9897693}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HectorMDD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HemaramMT22, author = {Surendra Hemaram and Mahta Mayahinia and Mehdi B. Tahoori}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Adaptive Block Error Correction for Memristive Crossbars}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897817}, doi = {10.1109/IOLTS56730.2022.9897817}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HemaramMT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HisafuruTT22, author = {Kota Hisafuru and Kazunari Takasaki and Nozomu Togawa}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {An Anomalous Behavior Detection Method for IoT Devices Based on Power Waveform Shapes}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897477}, doi = {10.1109/IOLTS56730.2022.9897477}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HisafuruTT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IslamK22, author = {Md. Nazmul Islam and Sandip Kundu}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A Software Approach Towards Defeating Power Management Side Channel Leakage}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897191}, doi = {10.1109/IOLTS56730.2022.9897191}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/IslamK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KoufopoulouXPPH22, author = {Amalia{-}Artemis Koufopoulou and Kalliopi Xevgeni and Athanasios Papadimitriou and Mihalis Psarakis and David H{\'{e}}ly}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Security and Reliability Evaluation of Countermeasures implemented using High-Level Synthesis}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897824}, doi = {10.1109/IOLTS56730.2022.9897824}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KoufopoulouXPPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KritikakouNRPKM22, author = {Angeliki Kritikakou and Panagiota Nikolaou and Ivan Rodriguez{-}Ferrandez and Joseph Paturel and Leonidas Kosmidis and Maria K. Michael and Olivier Sentieys and David Steenari}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Functional and Timing Implications of Transient Faults in Critical Systems}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897537}, doi = {10.1109/IOLTS56730.2022.9897537}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KritikakouNRPKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KumarRPA22, author = {Gaurav Kumar and Anjum Riaz and Yamuna Prasad and Satyadev Ahlawat}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {On Attacking {IJTAG} Architecture based on Locking {SIB} with Security {LFSR}}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897172}, doi = {10.1109/IOLTS56730.2022.9897172}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KumarRPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KunduB22, author = {Shamik Kundu and Kanad Basu}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Detecting Functional Safety Violations in Online {AI} Accelerators}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897702}, doi = {10.1109/IOLTS56730.2022.9897702}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KunduB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MartinoliTBL22, author = {Valentin Martinoli and Yannick Teglia and Abdellah Bouagoun and R{\'{e}}gis Leveugle}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Recovering Information on the {CVA6} {RISC-V} {CPU} with a Baremetal Micro-Architectural Covert Channel}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897297}, doi = {10.1109/IOLTS56730.2022.9897297}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MartinoliTBL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NakajimaIFK22, author = {Ryuichi Nakajima and Kazuya Ioki and Jun Furuta and Kazutoshi Kobayashi}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Radiation Hardened Flip-Flops Minimizing Area, Power, and Delay Overheads with 1/100 Lower {\(\alpha\)}-SER in a 130 nm Bulk Process}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897814}, doi = {10.1109/IOLTS56730.2022.9897814}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NakajimaIFK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NosratiJN22, author = {Nooshin Nosrati and Maksim Jenihhin and Zainalabedin Navabi}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{MLC:} {A} Machine Learning Based Checker For Soft Error Detection In Embedded Processors}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897309}, doi = {10.1109/IOLTS56730.2022.9897309}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NosratiJN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Oberti0SPBC22, author = {Franco Oberti and Ernesto S{\'{a}}nchez and Alessandro Savino and Filippo Parisi and Mirco Brero and Stefano Di Carlo}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{LIN-MM:} Multiplexed Message Authentication Code for Local Interconnect Network message authentication in road vehicles}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897819}, doi = {10.1109/IOLTS56730.2022.9897819}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Oberti0SPBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PaudelT22, author = {Bijay Raj Paudel and Spyros Tragoudas}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Compressed Learning in {MCA} Architectures to Tolerate Malicious Noise}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897622}, doi = {10.1109/IOLTS56730.2022.9897622}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PaudelT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PortaluriASSC22, author = {Andrea Portaluri and Sarah Azimi and Corrado De Sio and Luca Sterpone and David Merodio Codinachs}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Radiation-induced Effects on {DMA} Data Transfer in Reconfigurable Devices}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897262}, doi = {10.1109/IOLTS56730.2022.9897262}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PortaluriASSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Rodriguez-Ferrandez22, author = {Ivan Rodriguez{-}Ferrandez and Maris Tali and Leonidas Kosmidis and Marta Rovituso and David Steenari}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Sources of Single Event Effects in the {NVIDIA} Xavier SoC Family under Proton Irradiation}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897236}, doi = {10.1109/IOLTS56730.2022.9897236}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Rodriguez-Ferrandez22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SantosKS22, author = {Fernando Fernandes dos Santos and Angeliki Kritikakou and Olivier Sentieys}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Experimental evaluation of neutron-induced errors on a multicore {RISC-V} platform}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897448}, doi = {10.1109/IOLTS56730.2022.9897448}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SantosKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SayadiAAPA0T22, author = {Hossein Sayadi and Mehrdad Aliasgari and Furkan Aydin and Seetal Potluri and Aydin Aysu and Jack Edmonds and Sara Tehranipoor}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Towards AI-Enabled Hardware Security: Challenges and Opportunities}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897507}, doi = {10.1109/IOLTS56730.2022.9897507}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SayadiAAPA0T22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SekyereS022, author = {Michael Sekyere and Marampally Saikiran and Degang Chen}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {All Digital Low-Cost Built-in Defect Testing Strategy for Operational Amplifiers with High Coverage}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897224}, doi = {10.1109/IOLTS56730.2022.9897224}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SekyereS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TaheriS0J22, author = {Mahdi Taheri and Saeideh Sheikhpour and Ali Mahani and Maksim Jenihhin}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {A Novel Fault-Tolerant Logic Style with Self-Checking Capability}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897818}, doi = {10.1109/IOLTS56730.2022.9897818}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TaheriS0J22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YamashitaKHHFT22, author = {Kazuki Yamashita and Tomohiro Kato and Kento Hasegawa and Seira Hidano and Kazuhide Fukushima and Nozomu Togawa}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {Effective Hardware-Trojan Feature Extraction Against Adversarial Attacks at Gate-Level Netlists}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897557}, doi = {10.1109/IOLTS56730.2022.9897557}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YamashitaKHHFT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZoniosT22, author = {Christos Zonios and Vasileios Tenentes}, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {{REVOLVER:} {A} Zero-Step Execution Emulation Framework for Mitigating Power Side-Channel Attacks on {ARM64}}, booktitle = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022.9897425}, doi = {10.1109/IOLTS56730.2022.9897425}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZoniosT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2022, editor = {Alessandro Savino and Paolo Rech and Stefano Di Carlo and Dimitris Gizopoulos}, title = {28th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2022, Torino, Italy, September 12-14, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IOLTS56730.2022}, doi = {10.1109/IOLTS56730.2022}, isbn = {978-1-6654-7355-2}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AkhshamN21, author = {Mahsa Akhsham and Zainalabedin Navabi}, title = {Integrating an Interconnect {BIST} with Crosstalk Avoidance Hardware}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486702}, doi = {10.1109/IOLTS52814.2021.9486702}, timestamp = {Wed, 04 Aug 2021 13:58:42 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AkhshamN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AksoyNARFDP21, author = {Levent Aksoy and Quang{-}Linh Nguyen and Felipe Almeida and Jaan Raik and Marie{-}Lise Flottes and Sophie Dupuis and Samuel Pagliarini}, title = {High-level Intellectual Property Obfuscation via Decoy Constants}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486714}, doi = {10.1109/IOLTS52814.2021.9486714}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AksoyNARFDP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmarnathMC21, author = {Chandramouli N. Amarnath and Md Imran Momtaz and Abhijit Chatterjee}, title = {Addressing Soft Error and Security Threats in DNNs Using Learning Driven Algorithmic Checks}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486685}, doi = {10.1109/IOLTS52814.2021.9486685}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmarnathMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AppelloC0PBR21, author = {Davide Appello and H. H. Chen and Matthias Sauer and Ilia Polian and Paolo Bernardi and Matteo Sonza Reorda}, title = {System-Level Test: State of the Art and Challenges}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486708}, doi = {10.1109/IOLTS52814.2021.9486708}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AppelloC0PBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BacarditBU21, author = {Marc Perell{\'{o}} Bacardit and Leonardo Bautista{-}Gomez and Osman S. Unsal}, title = {{FPGA} Checkpointing for Scientific Computing}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486693}, doi = {10.1109/IOLTS52814.2021.9486693}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BacarditBU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BanerjeeC21, author = {Suvadeep Banerjee and Abhijit Chatterjee}, title = {Online Fast Detection and Diagnosis of Power Grid Security Attacks Using State Checksums}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486696}, doi = {10.1109/IOLTS52814.2021.9486696}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BanerjeeC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BasALCGSMT021, author = {Francisco Bas and Sergi Alcaide and Ruben Lorenzo and Guillem Cabo and Guillermo Gil and Oriol Sala and Fabio Mazzocchetti and David Trilla and Jaume Abella}, title = {SafeDE: a flexible Diversity Enforcement hardware module for light-lockstepping}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486715}, doi = {10.1109/IOLTS52814.2021.9486715}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BasALCGSMT021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BurelEA21, author = {St{\'{e}}phane Burel and Adrian Evans and Lorena Anghel}, title = {{MOZART:} Masking Outputs with Zeros for Architectural Robustness and Testing of {DNN} Accelerators}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486694}, doi = {10.1109/IOLTS52814.2021.9486694}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BurelEA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Cantoro0MSRV21, author = {Riccardo Cantoro and Patrick Girard and Riccardo Masante and Sandro Sartoni and Matteo Sonza Reorda and Arnaud Virazel}, title = {Self-Test Libraries Analysis for Pipelined Processors Transition Fault Coverage Improvement}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486711}, doi = {10.1109/IOLTS52814.2021.9486711}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Cantoro0MSRV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CondiaRSCR21, author = {Josie E. Rodriguez Condia and Paolo Rech and Fernando Fernandes dos Santos and Luigi Carro and Matteo Sonza Reorda}, title = {Protecting GPU's Microarchitectural Vulnerabilities via Effective Selective Hardening}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486703}, doi = {10.1109/IOLTS52814.2021.9486703}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CondiaRSCR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DeveautourTV021, author = {Bastien Deveautour and Marcello Traiola and Arnaud Virazel and Patrick Girard}, title = {Reducing Overprovision of Triple Modular Reduncancy Owing to Approximate Computing}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486699}, doi = {10.1109/IOLTS52814.2021.9486699}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DeveautourTV021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ElshamyS21, author = {Mohamed Elshamy and Haralampos{-}G. Stratigopoulos}, title = {Neuron-PUF: Physical Unclonable Function Based on a Single Spiking Neuron}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486716}, doi = {10.1109/IOLTS52814.2021.9486716}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ElshamyS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FiorucciDNR21, author = {Tiziano Fiorucci and Jean{-}Marc Daveau and Giorgio Di Natale and Philippe Roche}, title = {Automated Dysfunctional Model Extraction for Model Based Safety Assessment of Digital Systems}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486705}, doi = {10.1109/IOLTS52814.2021.9486705}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FiorucciDNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GaoMAR0HS21, author = {Yifeng Gao and Hosein Mohammadi Makrani and Mehrdad Aliasgari and Amin Rezaei and Jessica Lin and Houman Homayoun and Hossein Sayadi}, title = {Adaptive-HMD: Accurate and Cost-Efficient Machine Learning-Driven Malware Detection using Microarchitectural Events}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486701}, doi = {10.1109/IOLTS52814.2021.9486701}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GaoMAR0HS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HasegawaHNKT21, author = {Kento Hasegawa and Seira Hidano and Kohei Nozawa and Shinsaku Kiyomoto and Nozomu Togawa}, title = {Data Augmentation for Machine Learning-Based Hardware Trojan Detection at Gate-Level Netlists}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486713}, doi = {10.1109/IOLTS52814.2021.9486713}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HasegawaHNKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IsakaASI21, author = {Yuya Isaka and Foisal Ahmed and Michihiro Shintani and Michiko Inoue}, title = {Unsupervised Recycled {FPGA} Detection Based on Direct Density Ratio Estimation}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486698}, doi = {10.1109/IOLTS52814.2021.9486698}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/IsakaASI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhandelwalOMJ21, author = {Saurabh Khandelwal and Marco Ottavi and Eugenio Martinelli and Abusaleh M. Jabir}, title = {A Memristive Architecture for Process Variation Aware Gas Sensing and Logic Operations}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486691}, doi = {10.1109/IOLTS52814.2021.9486691}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KhandelwalOMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KuriharaT21, author = {Tatsuki Kurihara and Nozomu Togawa}, title = {Hardware-Trojan Classification based on the Structure of Trigger Circuits Utilizing Random Forests}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486700}, doi = {10.1109/IOLTS52814.2021.9486700}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KuriharaT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MiuraT21, author = {Yukiya Miura and Shingo Tsutsumi}, title = {A Method for Measuring Process Variations in the {FPGA} Chip Considering the Effect of Wire Delay}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486686}, doi = {10.1109/IOLTS52814.2021.9486686}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MiuraT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MurakamiIO21, author = {Kensuke Murakami and Mahfuzul Islam and Hidetoshi Onodera}, title = {{CDF} Distance Based Statistical Parameter Extraction Using Nonlinear Delay Variation Models}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486684}, doi = {10.1109/IOLTS52814.2021.9486684}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MurakamiIO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Oberti0SPC21, author = {Franco Oberti and Ernesto S{\'{a}}nchez and Alessandro Savino and Filippo Parisi and Stefano Di Carlo}, title = {{TAURUM} {P2T:} Advanced Secure {CAN-FD} Architecture for Road Vehicle}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486688}, doi = {10.1109/IOLTS52814.2021.9486688}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Oberti0SPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PortaluriSAS21, author = {Andrea Portaluri and Corrado De Sio and Sarah Azimi and Luca Sterpone}, title = {A New Domains-based Isolation Design Flow for Reconfigurable SoCs}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486687}, doi = {10.1109/IOLTS52814.2021.9486687}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PortaluriSAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RuospoPF021, author = {Annachiara Ruospo and Davide Piumatti and Andrea Floridia and Ernesto S{\'{a}}nchez}, title = {A Suitability Analysis of Software Based Testing Strategies for the On-line Testing of Artificial Neural Networks Applications in Embedded Devices}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486704}, doi = {10.1109/IOLTS52814.2021.9486704}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RuospoPF021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SahaAZKEAM21, author = {Sangeet Saha and Adewale Adetomi and Xiaojun Zhai and Server Kasap and Shoaib Ehsan and Tughrul Arslan and Klaus D. McDonald{-}Maier}, title = {EnSuRe: Energy {\&} Accuracy Aware Fault-tolerant Scheduling on Real-time Heterogeneous Systems}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486707}, doi = {10.1109/IOLTS52814.2021.9486707}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SahaAZKEAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SalaACBLBTGM021, author = {Oriol Sala and Sergi Alcaide and Guillem Cabo and Francisco Bas and Ruben Lorenzo and Pedro Benedicte and David Trilla and Guillermo Gil and Fabio Mazzocchetti and Jaume Abella}, title = {SafeTI: a Hardware Traffic Injector for MPSoC Functional and Timing Validation}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486689}, doi = {10.1109/IOLTS52814.2021.9486689}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SalaACBLBTGM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SaraswatulaYZPC21, author = {Sree Rama K. C. Saraswatula and Santosh Yachareni and Shidong Zhou and Narendra Kumar Pulipati and Joy Chen and Teja Masina}, title = {Robust Adaptive Read Scheme for 7nm Configuration SRAMs}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486712}, doi = {10.1109/IOLTS52814.2021.9486712}, timestamp = {Wed, 24 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SaraswatulaYZPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SilvaBH021, author = {Felipe Augusto da Silva and Ahmet Cagri Bagbaba and Said Hamdioui and Christian Sauer}, title = {Flip Flop Weighting: {A} technique for estimation of safety metrics in Automotive Designs}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486697}, doi = {10.1109/IOLTS52814.2021.9486697}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SilvaBH021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TakasakiKT21, author = {Kazunari Takasaki and Ryoichi Kida and Nozomu Togawa}, title = {An Anomalous Behavior Detection Method Based on Power Analysis Utilizing Steady State Power Waveform Predicted by {LSTM}}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486706}, doi = {10.1109/IOLTS52814.2021.9486706}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TakasakiKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Veleski0KK21, author = {Mitko Veleski and Michael H{\"{u}}bner and Milos Krstic and Rolf Kraemer}, title = {Towards Error Resilient and Power-Efficient Adaptive Multiprocessor System using Highly Configurable and Flexible Cross-Layer Framework}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486695}, doi = {10.1109/IOLTS52814.2021.9486695}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Veleski0KK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangLAHW21, author = {Chih{-}Hao Wang and Natalia Lylina and Ahmed Atteya and Tong{-}Yu Hsieh and Hans{-}Joachim Wunderlich}, title = {Concurrent Test of Reconfigurable Scan Networks for Self-Aware Systems}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486710}, doi = {10.1109/IOLTS52814.2021.9486710}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WangLAHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YamamotoSZWKSIO21, author = {Shuhei Yamamoto and Yuto Sasaki and Yujie Zhao and Jianglin Wei and Anna Kuwana and Keno Sato and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Takayuki Nakatani and Minh Tri Tran and Shogo Katayama and Kazumi Hatayama and Haruo Kobayashi}, title = {Metallic Ratio Equivalent-Time Sampling: {A} Highly Efficient Waveform Acquisition Method}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486692}, doi = {10.1109/IOLTS52814.2021.9486692}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YamamotoSZWKSIO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YoshisueMI21, author = {Kazuki Yoshisue and Yutaka Masuda and Tohru Ishihara}, title = {Dynamic Verification of Approximate Computing Circuits using Coverage-based Grey-box Fuzzing}, booktitle = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021.9486690}, doi = {10.1109/IOLTS52814.2021.9486690}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YoshisueMI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2021, title = {27th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2021, Torino, Italy, June 28-30, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IOLTS52814.2021}, doi = {10.1109/IOLTS52814.2021}, isbn = {978-1-6654-3370-9}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuBLG20, author = {Dan Alexandrescu and Aneesh Balakrishnan and Thomas Lange and Maximilien Glorieux}, title = {Enabling Cross-Layer Reliability and Functional Safety Assessment Through ML-Based Compact Models}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159750}, doi = {10.1109/IOLTS50870.2020.9159750}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuBLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmarnathMC20, author = {Chandramouli N. Amarnath and Md Imran Momtaz and Abhijit Chatterjee}, title = {Encoded Check Driven Concurrent Error Detection in Particle Filters for Nonlinear State Estimation}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159724}, doi = {10.1109/IOLTS50870.2020.9159724}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmarnathMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzaisBCDDBF0KLL20, author = {Florence Aza{\"{\i}}s and Serge Bernard and Mariane Comte and Bastien Deveautour and Sophie Dupuis and Hassan El Badawi and Marie{-}Lise Flottes and Patrick Girard and Vincent Kerz{\`{e}}rho and Laurent Latorre and Fran{\c{c}}ois Lef{\`{e}}vre and Bruno Rouzeyre and Emanuele Valea and T. Vayssade and Arnaud Virazel}, title = {Development and Application of Embedded Test Instruments to Digital, Analog/RFs and Secure ICs}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159723}, doi = {10.1109/IOLTS50870.2020.9159723}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AzaisBCDDBF0KLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzimiSS20, author = {Sarah Azimi and Corrado De Sio and Luca Sterpone}, title = {In-Circuit Mitigation Approach of Single Event Transients for 45nm Flip-Flops}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159738}, doi = {10.1109/IOLTS50870.2020.9159738}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AzimiSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BagbabaJU020, author = {Ahmet Cagri Bagbaba and Maksim Jenihhin and Raimund Ubar and Christian Sauer}, title = {Representing Gate-Level {SET} Faults by Multiple {SEU} Faults at {RTL}}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159715}, doi = {10.1109/IOLTS50870.2020.9159715}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BagbabaJU020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BagheriyeAK20, author = {Leila Bagheriye and Ghazanfar Ali and Hans G. Kerkhoff}, title = {Life-Time Prognostics of Dependable VLSI-SoCs using Machine-learning}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159753}, doi = {10.1109/IOLTS50870.2020.9159753}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BagheriyeAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BolchiniCMM20, author = {Cristiana Bolchini and Luca Cassano and Andrea Mazzeo and Antonio Miele}, title = {Error Modeling for Image Processing Filters accelerated onto SRAM-based FPGAs}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159746}, doi = {10.1109/IOLTS50870.2020.9159746}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BolchiniCMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CondiaR20, author = {Josie E. Rodriguez Condia and Matteo Sonza Reorda}, title = {On the testing of special memories in GPGPUs}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159711}, doi = {10.1109/IOLTS50870.2020.9159711}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CondiaR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/El-SayedSPACLS20, author = {Sarah A. El{-}Sayed and Theofilos Spyrou and Antonios Pavlidis and Engin Afacan and Luis A. Camu{\~{n}}as{-}Mesa and Bernab{\'{e}} Linares{-}Barranco and Haralampos{-}G. D. Stratigopoulos}, title = {Spiking Neuron Hardware-Level Fault Modeling}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159745}, doi = {10.1109/IOLTS50870.2020.9159745}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/El-SayedSPACLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GamilMCGNAM20, author = {Homer Gamil and Pranav Mehta and Eduardo Chielle and Adriano Di Giovanni and Mohammed Nabeel and Francesco Arneodo and Michail Maniatakos}, title = {Muon-Ra: Quantum random number generation from cosmic rays}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159728}, doi = {10.1109/IOLTS50870.2020.9159728}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GamilMCGNAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GarbO20, author = {Kathrin Garb and Johannes Obermaier}, title = {Temporary Laser Fault Injection into Flash Memory: Calibration, Enhanced Attacks, and Countermeasures}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159712}, doi = {10.1109/IOLTS50870.2020.9159712}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GarbO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GuptaKPMHJO20, author = {Vishal Gupta and Saurabh Khandelwal and Giulio Panunzi and Eugenio Martinelli and Said Hamdioui and Abusaleh M. Jabir and Marco Ottavi}, title = {Yield Estimation of a Memristive Sensor Array}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159727}, doi = {10.1109/IOLTS50870.2020.9159727}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GuptaKPMHJO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Hanif020, author = {Muhammad Abdullah Hanif and Muhammad Shafique}, title = {Dependable Deep Learning: Towards Cost-Efficient Resilience of Deep Neural Network Accelerators against Soft Errors and Permanent Faults}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159734}, doi = {10.1109/IOLTS50870.2020.9159734}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Hanif020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HosokawaMYYA20, author = {Toshinori Hosokawa and Kenichiro Misawa and Hiroshi Yamazaki and Masayoshi Yoshimura and Masayuki Arai}, title = {A Low Capture Power Oriented X-Identification-Filling Co-Optimization Method}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159735}, doi = {10.1109/IOLTS50870.2020.9159735}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HosokawaMYYA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IkegayaHIY20, author = {Yuki Ikegaya and Toshinori Hosokawa and Yuta Ishiyama and Hiroshi Yamazaki}, title = {A Test Sensitization State Compaction Method on Controller Augmentation}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159726}, doi = {10.1109/IOLTS50870.2020.9159726}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/IkegayaHIY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JainVCBGB20, author = {Abhishek Jain and Andrea Veggetti and Dennis Crippa and Antonio Benfante and Simone Gerardin and Marta Bagatin}, title = {Single Phase Clock Based Radiation Tolerant {D} Flip-flop Circuit}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159741}, doi = {10.1109/IOLTS50870.2020.9159741}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JainVCBGB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KazemiFHB20, author = {Zahra Kazemi and Mahdi Fazeli and David H{\'{e}}ly and Vincent Beroulle}, title = {Hardware Security Vulnerability Assessment to Identify the Potential Risks in {A} Critical Embedded Application}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159739}, doi = {10.1109/IOLTS50870.2020.9159739}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KazemiFHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KunduSHB20, author = {Shamik Kundu and Ahmet Soyyigit and Khaza Anuarul Hoque and Kanad Basu}, title = {High-level Modeling of Manufacturing Faults in Deep Neural Network Accelerators}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159704}, doi = {10.1109/IOLTS50870.2020.9159704}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KunduSHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KuriharaHT20, author = {Tatsuki Kurihara and Kento Hasegawa and Nozomu Togawa}, title = {Evaluation on Hardware-Trojan Detection at Gate-Level {IP} Cores Utilizing Machine Learning Methods}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159740}, doi = {10.1109/IOLTS50870.2020.9159740}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KuriharaHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LangeBGAS20, author = {Thomas Lange and Aneesh Balakrishnan and Maximilien Glorieux and Dan Alexandrescu and Luca Sterpone}, title = {Machine Learning Clustering Techniques for Selective Mitigation of Critical Design Features}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159751}, doi = {10.1109/IOLTS50870.2020.9159751}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LangeBGAS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LasherasCRC20, author = {Ana Lasheras and Ramon Canal and Eva Rodr{\'{\i}}guez and Luca Cassano}, title = {Lightweight Protection of Cryptographic Hardware Accelerators against Differential Fault Analysis}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159720}, doi = {10.1109/IOLTS50870.2020.9159720}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LasherasCRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LimaMC20, author = {Jo{\~{a}}o Paulo Cardoso de Lima and Rafael F{\~{a}}o de Moura and Luigi Carro}, title = {Leveraging reuse and endurance by efficient mapping and placement for NVM-based FPGAs}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159743}, doi = {10.1109/IOLTS50870.2020.9159743}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LimaMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LuZSEM20, author = {Yufan Lu and Xiaojun Zhai and Sangeet Saha and Shoaib Ehsan and Klaus D. McDonald{-}Maier}, title = {A self-scrubbing scheme for embedded systems in radiation environments}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159718}, doi = {10.1109/IOLTS50870.2020.9159718}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LuZSEM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MartinezK020, author = {Antonio Leonel Hern{\'{a}}ndez Mart{\'{\i}}nez and S. Saqib Khursheed and Daniele Rossi}, title = {Leveraging {CMOS} Aging for Efficient Microelectronics Design}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159742}, doi = {10.1109/IOLTS50870.2020.9159742}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MartinezK020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MelisSA20, author = {Tommaso Melis and Emmanuel Simeu and Etienne Auvray}, title = {Automatic Fault Simulators for Diagnosis of Analog Systems}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159747}, doi = {10.1109/IOLTS50870.2020.9159747}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MelisSA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MiuraK20, author = {Yukiya Miura and Yuya Kinoshita}, title = {Soft Error Tolerance of Power-Supply-Noise Hardened Latches}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159710}, doi = {10.1109/IOLTS50870.2020.9159710}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MiuraK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MiyakeKKAFMM20, author = {Yousuke Miyake and Takaaki Kato and Seiji Kajihara and Masao Aso and Haruji Futami and Satoshi Matsunaga and Yukiya Miura}, title = {On-Chip Delay Measurement for Degradation Detection And Its Evaluation under Accelerated Life Test}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159717}, doi = {10.1109/IOLTS50870.2020.9159717}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MiyakeKKAFMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MunchT20, author = {Christopher M{\"{u}}nch and Mehdi B. Tahoori}, title = {Defect Characterization of Spintronic-based Neuromorphic Circuits}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159722}, doi = {10.1109/IOLTS50870.2020.9159722}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MunchT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NguyenVFDR20, author = {Quang{-}Linh Nguyen and Emanuele Valea and Marie{-}Lise Flottes and Sophie Dupuis and Bruno Rouzeyre}, title = {A Secure Scan Controller for Protecting Logic Locking}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159730}, doi = {10.1109/IOLTS50870.2020.9159730}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NguyenVFDR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PapavramidouN020, author = {Panagiota Papavramidou and Michael Nicolaidis and Patrick Girard}, title = {An ECC-Based Repair Approach with an Offset-Repair {CAM} for Mitigating the MBUs Affecting Repair {CAM}}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159731}, doi = {10.1109/IOLTS50870.2020.9159731}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PapavramidouN020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PattabiramanLC20, author = {Karthik Pattabiraman and Guanpeng Li and Zitao Chen}, title = {Error Resilient Machine Learning for Safety-Critical Systems: Position Paper}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159749}, doi = {10.1109/IOLTS50870.2020.9159749}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PattabiramanLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Pomeranz20, author = {Irith Pomeranz}, title = {Storage Based Built-In Test Pattern Generation Method for Close-to-Functional Broadside Tests}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159705}, doi = {10.1109/IOLTS50870.2020.9159705}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Pomeranz20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PomeranzK20, author = {Irith Pomeranz and Sandip Kundu}, title = {Reduced Fault Coverage as a Target for Design Scaffolding Security}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159706}, doi = {10.1109/IOLTS50870.2020.9159706}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PomeranzK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PortolanFTRKBSM20, author = {Michele Portolan and R. Silveira Feitoza and Ghislain Takam Tchendjou and Vincent Reynaud and Kalpana Senthamarai Kannan and Manuel J. Barrag{\'{a}}n and Emmanuel Simeu and Paolo Maistri and Lorena Anghel and R{\'{e}}gis Leveugle and Salvador Mir}, title = {A Comprehensive End-to-end Solution for a Secure and Dynamic Mixed-signal 1687 System}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159721}, doi = {10.1109/IOLTS50870.2020.9159721}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PortolanFTRKBSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Ravikumar20, author = {C. P. Ravikumar}, title = {Industrial Practices in Low-Power Robust Design}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159736}, doi = {10.1109/IOLTS50870.2020.9159736}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Ravikumar20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SantosBBHCR20, author = {Fernando Fernandes dos Santos and Marcelo Brandalero and Pedro Martins Basso and Michael H{\"{u}}bner and Luigi Carro and Paolo Rech}, title = {Reduced-Precision {DWC} for Mixed-Precision GPUs}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159748}, doi = {10.1109/IOLTS50870.2020.9159748}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SantosBBHCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Schulz-Hanke20, author = {Christian Schulz{-}Hanke}, title = {Fast {BCH} 1-Bit Error Correction Combined with Fast Multi-Bit Error Detection}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159754}, doi = {10.1109/IOLTS50870.2020.9159754}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Schulz-Hanke20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShafikWY20, author = {Rishad A. Shafik and Adrian Wheeldon and Alex Yakovlev}, title = {Explainability and Dependability Analysis of Learning Automata based {AI} Hardware}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159725}, doi = {10.1109/IOLTS50870.2020.9159725}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ShafikWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Shah020, author = {Ambika Prasad Shah and Patrick Girard}, title = {Impact of Aging on Soft Error Susceptibility in {CMOS} Circuits}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159733}, doi = {10.1109/IOLTS50870.2020.9159733}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Shah020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShanyourT20, author = {Basim Shanyour and Spyros Tragoudas}, title = {Broadside {ATPG} for Low Power Trojans Detection using Built-in Current Sensors}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159713}, doi = {10.1109/IOLTS50870.2020.9159713}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ShanyourT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SimevskiSBKA20, author = {Aleksandar Simevski and Oliver Schrape and Carlos Benito and Milos Krstic and Marko S. Andjelkovic}, title = {{PISA:} Power-robust Multiprocessor Design for Space Applications}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159716}, doi = {10.1109/IOLTS50870.2020.9159716}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SimevskiSBKA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TakasakiHKT20, author = {Kazunari Takasaki and Kento Hasegawa and Ryoichi Kida and Nozomu Togawa}, title = {An Anomalous Behavior Detection Method for IoT Devices by Extracting Application-Specific Power Behaviors}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159732}, doi = {10.1109/IOLTS50870.2020.9159732}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TakasakiHKT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Vaz0VA20, author = {Pablo Ilha Vaz and Patrick Girard and Arnaud Virazel and Hassen Aziza}, title = {A {CMOS} OxRAM-Based Neuron Circuit Hardened with Enclosed Layout Transistors for Aerospace Applications}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159709}, doi = {10.1109/IOLTS50870.2020.9159709}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Vaz0VA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WachterKZEM20, author = {Eduardo Weber W{\"{a}}chter and Server Kasap and Xiaojun Zhai and Shoaib Ehsan and Klaus D. McDonald{-}Maier}, title = {A Framework and Protocol for Dynamic Management of Fault Tolerant Systems in Harsh Environments}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159752}, doi = {10.1109/IOLTS50870.2020.9159752}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WachterKZEM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangSRSH20, author = {Han Wang and Hossein Sayadi and Setareh Rafatirad and Avesta Sasan and Houman Homayoun}, title = {{SCARF:} Detecting Side-Channel Attacks at Real-time using Low-level Hardware Features}, booktitle = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IOLTS50870.2020.9159708}, doi = {10.1109/IOLTS50870.2020.9159708}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WangSRSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2020, title = {26th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2020, Napoli, Italy, July 13-15, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9151097/proceeding}, isbn = {978-1-7281-8187-5}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Abraham19, author = {Jacob A. Abraham}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Resiliency Demands on Next Generation Critical Embedded Systems}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {135--138}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854420}, doi = {10.1109/IOLTS.2019.8854420}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Abraham19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AerabiPH19, author = {Ehsan Aerabi and Athanasios Papadimitriou and David H{\'{e}}ly}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {On a Side Channel and Fault Attack Concurrent Countermeasure Methodology for MCU-based Byte-sliced Cipher Implementations}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {103--108}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854372}, doi = {10.1109/IOLTS.2019.8854372}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AerabiPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AhlawatATFS19, author = {Satyadev Ahlawat and Kailash Ahirwar and Jaynarayan T. Tudu and Masahiro Fujita and Virendra Singh}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Securing Scan through Plain-text Restriction}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {251--252}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854411}, doi = {10.1109/IOLTS.2019.8854411}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AhlawatATFS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlcaideKHA19, author = {Sergi Alcaide and Leonidas Kosmidis and Carles Hern{\'{a}}ndez and Jaume Abella}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Software-only Diverse Redundancy on GPUs for Autonomous Driving Platforms}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {90--96}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854378}, doi = {10.1109/IOLTS.2019.8854378}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlcaideKHA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmrouchSPKSTH19, author = {Hussam Amrouch and Victor M. van Santen and Om Prakash and Hammam Kattan and Sami Salamin and Simon Thomann and J{\"{o}}rg Henkel}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Reliability Challenges with Self-Heating and Aging in FinFET Technology}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {68--71}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854405}, doi = {10.1109/IOLTS.2019.8854405}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmrouchSPKSTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AndjelkovicLSKK19, author = {Marko S. Andjelkovic and Yuanqing Li and Zoran Stamenkovic and Milos Krstic and Rolf Kraemer}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Characterization and Modeling of {SET} Generation Effects in {CMOS} Standard Logic Cells}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {212--215}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854379}, doi = {10.1109/IOLTS.2019.8854379}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AndjelkovicLSKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AthavaleMP19, author = {Jyotika Athavale and Riccardo Mariani and Michael Paulitsch}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Flight Safety Certification Implications for Complex Multi-Core Processor based Avionics Systems}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {38--39}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854415}, doi = {10.1109/IOLTS.2019.8854415}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AthavaleMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BagbabaJRS19, author = {Ahmet Cagri Bagbaba and Maksim Jenihhin and Jaan Raik and Christian Sauer}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Efficient Fault Injection based on Dynamic {HDL} Slicing Technique}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {52--53}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854419}, doi = {10.1109/IOLTS.2019.8854419}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BagbabaJRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BolchiniCMRZN19, author = {Cristiana Bolchini and Luca Cassano and Ivan Montalbano and Giampiero Repole and Andrea Zanetti and Giorgio Di Natale}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {{HATE:} a HArdware Trojan Emulation Environment for Microprocessor-based Systems}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {109--114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854414}, doi = {10.1109/IOLTS.2019.8854414}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BolchiniCMRZN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BreitenreiterLR19, author = {Anselm Breitenreiter and Jes{\'{u}}s L{\'{o}}pez and Pedro Reviriego and Milos Krstic and {\'{U}}rsula Gutierro and Manuel S{\'{a}}nchez{-}Renedo and Daniel Gonz{\'{a}}lez}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Radiation Tolerant 10/100 Ethernet Transceiver for Space Applications}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {220--223}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854370}, doi = {10.1109/IOLTS.2019.8854370}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BreitenreiterLR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BreitenreiterWS19, author = {Anselm Breitenreiter and Stefan Weidling and Oliver Schrape and Steffen Zeidler and Pedro Reviriego and Milos Krstic}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Selective Fault Tolerance by Counting Gates with Controlling Value}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {15--20}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854380}, doi = {10.1109/IOLTS.2019.8854380}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BreitenreiterWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CalligaroG19, author = {Cristiano Calligaro and Umberto Gatti}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Recipes to build-up a rad-hard {CMOS} memory}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {216--219}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854396}, doi = {10.1109/IOLTS.2019.8854396}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CalligaroG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CeccarelliMMDH19, author = {Edoardo Ceccarelli and Kevin Manning and Giuseppe Macera and Dennis Dempsey and Colm Heffernan}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {HCD-Induced {GIDL} Increase and Circuit Implications}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {76--79}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854462}, doi = {10.1109/IOLTS.2019.8854462}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CeccarelliMMDH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CondiaR19, author = {Josie E. Rodriguez Condia and Matteo Sonza Reorda}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Testing permanent faults in pipeline registers of GPGPUs: {A} multi-kernel approach}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {97--102}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854463}, doi = {10.1109/IOLTS.2019.8854463}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CondiaR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DraghettiSCR19, author = {Lucas Klein Draghetti and Fernando Fernandes dos Santos and Luigi Carro and Paolo Rech}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Detecting Errors in Convolutional Neural Networks Using Inter Frame Spatio-Temporal Correlation}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {310--315}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854431}, doi = {10.1109/IOLTS.2019.8854431}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DraghettiSCR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EbaraYFK19, author = {Mitsunori Ebara and Kodai Yamada and Jun Furuta and Kazutoshi Kobayashi}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Comparison of Radiation Hardness of Stacked Transmission-Gate Flip Flop and Stacked Tristate-Inverter Flip Flop in a 65 nm Thin {BOX} {FDSOI} Process}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854436}, doi = {10.1109/IOLTS.2019.8854436}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EbaraYFK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EscuderoVR19, author = {Manuel Escudero and Ioannis Vourkas and Antonio Rubio}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Stuck-at-OFF Fault Analysis in Memristor-Based Architecture for Synchronization}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {33--37}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854413}, doi = {10.1109/IOLTS.2019.8854413}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EscuderoVR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GizopoulosPCR0U19, author = {Dimitris Gizopoulos and George Papadimitriou and Athanasios Chatzidimitriou and Vijay Janapa Reddi and Behzad Salami and Osman S. Unsal and Adri{\'{a}}n Cristal Kestelman and Jingwen Leng}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {129--134}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854386}, doi = {10.1109/IOLTS.2019.8854386}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GizopoulosPCR0U19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HasegawaCT19, author = {Kento Hasegawa and Kiyoshi Chikamatsu and Nozomu Togawa}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Empirical Evaluation on Anomaly Behavior Detection for Low-Cost Micro-Controllers Utilizing Accurate Power Analysis}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {54--57}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854456}, doi = {10.1109/IOLTS.2019.8854456}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HasegawaCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HosakaNKMK19, author = {Takumi Hosaka and Shinichi Nishizawa and Ryo Kishida and Takashi Matsumoto and Kazutoshi Kobayashi}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Compact Modeling of {NBTI} Replicating {AC} Stress / Recovery from a Single-shot Long-term {DC} Measurement}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {305--309}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854421}, doi = {10.1109/IOLTS.2019.8854421}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HosakaNKMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HsuHYM19, author = {Shu{-}Han Hsu and Ying{-}Yuan Huang and Kexin Yang and Linda Milor}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Identification of Failure Modes for Circuit Samples with Confounded Causes of Failure}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {257--262}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854409}, doi = {10.1109/IOLTS.2019.8854409}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HsuHYM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IshikawaTYT19, author = {Ryota Ishikawa and Masashi Tawada and Masao Yanagisawa and Nozomu Togawa}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Error Correction Coding of Stochastic Numbers Using {BER} Measurement}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {243--246}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854450}, doi = {10.1109/IOLTS.2019.8854450}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/IshikawaTYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IshiyamaHY19, author = {Yuta Ishiyama and Toshinori Hosokawa and Hiroshi Yamazaki}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Design for Testability Method for k-Cycle Capture Test Generation}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {40--43}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854416}, doi = {10.1109/IOLTS.2019.8854416}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/IshiyamaHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JaulmesMVC19, author = {Luc Jaulmes and Miquel Moret{\'{o}} and Mateo Valero and Marc Casas}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Vulnerability Factor for ECC-protected Memory}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {176--181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854397}, doi = {10.1109/IOLTS.2019.8854397}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JaulmesMVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JurimagiUJRDO19, author = {Lembit J{\"{u}}rim{\"{a}}gi and Raimund Ubar and Maksim Jenihhin and Jaan Raik and Sergei Devadze and Adeboye Stephen Oyeniran}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Application Specific True Critical Paths Identification in Sequential Circuits}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {299--304}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854442}, doi = {10.1109/IOLTS.2019.8854442}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JurimagiUJRDO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhalidATHRA019, author = {Faiq Khalid and Hassan Ali and Hammad Tariq and Muhammad Abdullah Hanif and Semeen Rehman and Rehan Ahmed and Muhammad Shafique}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {182--187}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854377}, doi = {10.1109/IOLTS.2019.8854377}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KhalidATHRA019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhalidHRA019, author = {Faiq Khalid and Muhammad Abdullah Hanif and Semeen Rehman and Rehan Ahmed and Muhammad Shafique}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {188--193}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854425}, doi = {10.1109/IOLTS.2019.8854425}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KhalidHRA019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhandelwalBGOMJ19, author = {Saurabh Khandelwal and Anu Bala and Vishal Gupta and Marco Ottavi and Eugenio Martinelli and Abusaleh M. Jabir}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Fault Modeling and Simulation of Memristor based Gas Sensors}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {58--59}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854459}, doi = {10.1109/IOLTS.2019.8854459}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KhandelwalBGOMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Kharbouche-Harrari19, author = {Mounia Kharbouche{-}Harrari and Romain Wacquez and Gregory di Pendina and Jean{-}Max Dutertre and J{\'{e}}r{\'{e}}my Postel{-}Pellerin and Driss Aboulkassimi and Jean{-}Michel Portal}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Dual Detection of Heating and Photocurrent attacks {(DDHP)} Sensor using Hybrid {CMOS/STT-MRAM}}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {322--327}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854374}, doi = {10.1109/IOLTS.2019.8854374}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Kharbouche-Harrari19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KindtC19, author = {Philipp H. Kindt and Samarjit Chakraborty}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Power-aware Reliable Communication for the IoT}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {208--211}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854406}, doi = {10.1109/IOLTS.2019.8854406}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KindtC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KinoshitaHF19, author = {Yuya Kinoshita and Toshinori Hosokawa and Hideo Fujiwara}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Test Generation Method Based on k-Cycle Testing for Finite State Machines}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {232--235}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854426}, doi = {10.1109/IOLTS.2019.8854426}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KinoshitaHF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KnechtelPS19, author = {Johann Knechtel and Satwik Patnaik and Ozgur Sinanoglu}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {3D Integration: Another Dimension Toward Hardware Security}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {147--150}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854395}, doi = {10.1109/IOLTS.2019.8854395}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KnechtelPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KouloumprisMT19, author = {Andreas Kouloumpris and Maria K. Michael and Theocharis Theocharides}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Reliability-Aware Task Allocation Latency Optimization in Edge Computing}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {200--203}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854422}, doi = {10.1109/IOLTS.2019.8854422}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KouloumprisMT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KriebelR019, author = {Florian Kriebel and Semeen Rehman and Muhammad Shafique}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Studying Aging and Soft Error Mitigation Jointly under Constrained Scenarios in Multi-Cores}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {139--142}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854444}, doi = {10.1109/IOLTS.2019.8854444}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KriebelR019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LaiJRP19, author = {Xinhui Lai and Maksim Jenihhin and Jaan Raik and Kolin Paul}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {{PASCAL:} Timing {SCA} Resistant Design and Verification Flow}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {239--242}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854458}, doi = {10.1109/IOLTS.2019.8854458}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LaiJRP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LangeBGAS19, author = {Thomas Lange and Aneesh Balakrishnan and Maximilien Glorieux and Dan Alexandrescu and Luca Sterpone}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Machine Learning to Tackle the Challenges of Transient and Soft Errors in Complex Circuits}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {7--14}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854423}, doi = {10.1109/IOLTS.2019.8854423}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LangeBGAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LiRAX19, author = {Jiaqiang Li and Pedro Reviriego and Costas Argyrides and Liyi Xiao}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Efficient Concurrent Error Detection for {SEC-DAEC} Encoders}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {165--170}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854465}, doi = {10.1109/IOLTS.2019.8854465}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LiRAX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MatsunagaY19, author = {Yusuke Matsunaga and Masayoshi Yoshimura}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {An Efficient SAT-Attack Algorithm Against Logic Encryption}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {44--47}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854466}, doi = {10.1109/IOLTS.2019.8854466}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MatsunagaY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MhamdiVGBAFL19, author = {Safa Mhamdi and Arnaud Virazel and Patrick Girard and Alberto Bosio and Etienne Auvray and Eric Faehn and Aymen Ladhar}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Towards Improvement of Mission Mode Failure Diagnosis for System-on-Chip}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {21--26}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854388}, doi = {10.1109/IOLTS.2019.8854388}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MhamdiVGBAFL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MichardCCF19, author = {Audrey Michard and Florian Cacho and Damien Celeste and Xavier Federspiel}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Global and Local Process Variation Simulations in Design for Reliability approach}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {72--75}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854371}, doi = {10.1109/IOLTS.2019.8854371}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MichardCCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MiuraIK19, author = {Yukiya Miura and Miyuki Inoue and Yuya Kinoshita}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Development of {FF} Circuits for Measures Against Power Supply Noise}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {48--51}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854447}, doi = {10.1109/IOLTS.2019.8854447}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MiuraIK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MomtazC19, author = {Md Imran Momtaz and Abhijit Chatterjee}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Hierarchical Check Based Detection and Diagnosis of Sensor-Actuator Malfunction in Autonomous Systems: {A} Quadcopter Study}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {316--321}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854392}, doi = {10.1109/IOLTS.2019.8854392}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MomtazC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NagarajanKEAG19, author = {Karthikeyan Nagarajan and Mohammad Nasim Imtiaz Khan and Sina Sayyah Ensan and Abdullah Ash{-}Saki and Swaroop Ghosh}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Meeting the Conflicting Goals of Low-Power and Resiliency Using Emerging Memories : (Invited Paper)}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {224--227}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854412}, doi = {10.1109/IOLTS.2019.8854412}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NagarajanKEAG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NairBTGT19, author = {Sarath Mohanachandran Nair and Rajendra Bishnoi and Mehdi Baradaran Tahoori and Hayk T. Grigoryan and Grigor Tshagharyan}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Variation-aware Fault Modeling and Test Generation for {STT-MRAM}}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {80--83}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854376}, doi = {10.1109/IOLTS.2019.8854376}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NairBTGT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Najdi0T19, author = {Abdessamad Najdi and Daniele Rossi and Vasileios Tenentes}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Analysis on Retention Time and Adaptive Refresh in Embedded DRAMs with Aging Benefits}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {281--286}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854403}, doi = {10.1109/IOLTS.2019.8854403}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Najdi0T19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NordmannG19, author = {Paul{-}Patrick Nordmann and Michael G{\"{o}}ssel}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A New {DEC/TED} Code for Fast Correction of 2-Bit-Errors}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {171--175}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854404}, doi = {10.1109/IOLTS.2019.8854404}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NordmannG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OttaviGKKMMJ19, author = {Marco Ottavi and Vishal Gupta and Saurabh Khandelwal and Shahar Kvatinsky and Jimson Mathew and Eugenio Martinelli and Abusaleh M. Jabir}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {The Missing Applications Found: Robust Design Techniques and Novel Uses of Memristors}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {159--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854427}, doi = {10.1109/IOLTS.2019.8854427}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OttaviGKKMMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ParvinA19, author = {Sajjad Parvin and Mustafa Altun}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Implementation of {CMOS} Logic Circuits with Perfect Fault Detection Using Preservative Reversible Gates}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {64--67}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854440}, doi = {10.1109/IOLTS.2019.8854440}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ParvinA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RajputM19, author = {Prashant Hari Narayan Rajput and Michail Maniatakos}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {{JTAG:} {A} Multifaceted Tool for Cyber Security}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {155--158}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854430}, doi = {10.1109/IOLTS.2019.8854430}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RajputM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RathoreCSSS19, author = {Vijeta Rathore and Vivek Chaturvedi and Amit Kumar Singh and Thambipillai Srikanthan and Muhammad Shafique}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Towards Scalable Lifetime Reliability Management for Dark Silicon Manycore Systems}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {204--207}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854454}, doi = {10.1109/IOLTS.2019.8854454}, timestamp = {Mon, 14 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RathoreCSSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RichardsonC19, author = {Andrew Richardson and David Cheneler}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Self-Monitoring, Self-Healing Biomorphic Sensor Technology}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {121--124}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854453}, doi = {10.1109/IOLTS.2019.8854453}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RichardsonC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SandionigiH19, author = {Chiara Sandionigi and Olivier H{\'{e}}ron}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Estimation of oxide breakdown effects by fault injection}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {269--274}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854441}, doi = {10.1109/IOLTS.2019.8854441}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SandionigiH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShayanBSCK19, author = {Mohammed Shayan and Sukanta Bhattacharjee and Yong{-}Ak Song and Krishnendu Chakrabarty and Ramesh Karri}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Can Multi-Layer Microfluidic Design Methods Aid Bio-Intellectual Property Protection?}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {151--154}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854393}, doi = {10.1109/IOLTS.2019.8854393}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ShayanBSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SilvaBHS19, author = {Felipe Augusto da Silva and Ahmet Cagri Bagbaba and Said Hamdioui and Christian Sauer}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Efficient Methodology for {ISO26262} Functional Safety Verification}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {255--256}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854449}, doi = {10.1109/IOLTS.2019.8854449}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SilvaBHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SiniVDGP19, author = {Jacopo Sini and Massimo Violante and V. Dodde and R. Gnaniah and L. Pecorella}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Novel Simulation-Based Approach for {ISO} 26262 Hazard Analysis and Risk Assessment}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {253--254}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854385}, doi = {10.1109/IOLTS.2019.8854385}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SiniVDGP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SouzaN19, author = {Eduardo Nunes de Souza and Gabriel L. Nazar}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Cost-effective Resilient FPGA-based {LDPC} Decoder Architecture}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {84--89}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854457}, doi = {10.1109/IOLTS.2019.8854457}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SouzaN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SubramaniVBAM19, author = {Kiruba S. Subramani and Georgios Volanis and Mohammad{-}Mahdi Bidmeshki and Angelos Antonopoulos and Yiorgos Makris}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Trusted and Secure Design of Analog/RF ICs: Recent Developments}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {125--128}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854461}, doi = {10.1109/IOLTS.2019.8854461}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SubramaniVBAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TakeuchiHYY19, author = {Yuki Takeuchi and Toshinori Hosokawa and Hiroshi Yamazaki and Masayoshi Yoshimura}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {A Controller Augmentation Method to Improve Transition Fault Coverage for {RTL} Data-Paths}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {293--298}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854445}, doi = {10.1109/IOLTS.2019.8854445}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TakeuchiHYY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TchendjouS19, author = {Ghislain Takam Tchendjou and Emmanuel Simeu}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Control Loop of Image Correction based on Detection and Self-Healing of Defective Pixels}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {247--250}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854390}, doi = {10.1109/IOLTS.2019.8854390}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TchendjouS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TenentesD0A19, author = {Vasileios Tenentes and Shidhartha Das and Daniele Rossi and Bashir M. Al{-}Hashimi}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Run-time Detection and Mitigation of Power-Noise Viruses}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {275--280}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854375}, doi = {10.1109/IOLTS.2019.8854375}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TenentesD0A19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TychalasKM19, author = {Dimitrios Tychalas and Anastasis Keliris and Michail Maniatakos}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {{LED} Alert: Supply Chain Threats for Stealthy Data Exfiltration in Industrial Control Systems}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {194--199}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854451}, doi = {10.1109/IOLTS.2019.8854451}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TychalasKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ValleroSCC19, author = {Alessandro Vallero and Alessandro Savino and Alberto Carelli and Stefano Di Carlo}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Bayesian models for early cross-layer reliability analysis and design space exploration}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {143--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854452}, doi = {10.1109/IOLTS.2019.8854452}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ValleroSCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VatajeluNMH19, author = {Elena{-}Ioana Vatajelu and Giorgio Di Natale and Mohd Syafiq Mispan and Basel Halak}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {On the Encryption of the Challenge in Physically Unclonable Functions}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {115--120}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854387}, doi = {10.1109/IOLTS.2019.8854387}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VatajeluNMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WeyerWPC19, author = {Daniel J. Weyer and Francis G. Wolff and Christos A. Papachristou and Steve Clay}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Methodology for Tradeoffs between Performance and Lifetimes of Integrated Circuits}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {60--63}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854437}, doi = {10.1109/IOLTS.2019.8854437}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WeyerWPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WuHH19, author = {Kai{-}Chiang Wu and Wei{-}Tao Huang and Chiao{-}Yang Huang}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {{ICE-RADAR:} In-situ, Cost-Effective Razor Flip-Flop Deployment for Aging Resilience}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {263--268}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854407}, doi = {10.1109/IOLTS.2019.8854407}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WuHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WuL19, author = {Bing{-}Chen Wu and Tsung{-}Te Liu}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Variation-Resilient Design Techniques for Energy-Constrained Systems}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {228--231}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854384}, doi = {10.1109/IOLTS.2019.8854384}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WuL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/XanthopoulosNLT19, author = {Constantinos Xanthopoulos and Arnold Neckermann and Paulus List and Klaus{-}Peter Tschernay and Peter Sarson and Yiorgos Makris}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Automated Die Inking through On-line Machine Learning}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {27--32}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854373}, doi = {10.1109/IOLTS.2019.8854373}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/XanthopoulosNLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YoshidaKF19, author = {Takashi Yoshida and Kazutoshi Kobayashi and Jun Furuta}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {Total Ionizing Dose Effects by alpha irradiation on circuit performance and {SEU} tolerance in thin {BOX} {FDSOI} process}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {236--238}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854439}, doi = {10.1109/IOLTS.2019.8854439}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YoshidaKF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhangHYYWFL0Z19, author = {Kuozhong Zhang and Junying Huang and Jing Ye and Xiaochun Ye and Da Wang and Dongrui Fan and Huawei Li and Xiaowei Li and Zhimin Zhang}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities under {DVFS} attack}, booktitle = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, pages = {287--292}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IOLTS.2019.8854398}, doi = {10.1109/IOLTS.2019.8854398}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZhangHYYWFL0Z19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2019, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Panagiota Papavramidou and Michail Maniatakos}, title = {25th {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2019, Rhodes, Greece, July 1-3, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8846168/proceeding}, isbn = {978-1-7281-2490-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmatCR18, author = {Esteve Amat and Ramon Canal and Antonio Rubio}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Modem Gain-Cell Memories in Advanced Technologies}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {65--68}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474151}, doi = {10.1109/IOLTS.2018.8474151}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmatCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AndraudV18, author = {Martin Andraud and Marian Verhelst}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {From on-chip self-healing to self-adaptivity in analog/RF ICs: challenges and opportunities}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {131--134}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474078}, doi = {10.1109/IOLTS.2018.8474078}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AndraudV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AtallahHM18, author = {Ayman A. Atallah and Ghaith Bany Hamad and Otmane A{\"{\i}}t Mohamed}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Fault-Resilient Topology Planning and Traffic Configuration for {IEEE} 802.1Qbv {TSN} Networks}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {151--156}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474201}, doi = {10.1109/IOLTS.2018.8474201}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AtallahHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BardarehHZ18, author = {Hossein Bardareh and Amir M. Hajisadeghi and Hamid R. Zarandi}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {A Low-Cost Soft Error Tolerant Read Circuit for Single/Multi-Level Cross-Point {RRAM} Arrays}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {39--40}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474076}, doi = {10.1109/IOLTS.2018.8474076}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BardarehHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BehrouzianGB18, author = {Amir R. B. Behrouzian and Dip Goswami and Twan Basten}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Robust co-synthesis of embedded control systems with occasional deadline misses}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {127--130}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474138}, doi = {10.1109/IOLTS.2018.8474138}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BehrouzianGB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BramnikS18, author = {Arkady Bramnik and Yiannakis Sazeides}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {To Detect or to Correct?}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {35--38}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474073}, doi = {10.1109/IOLTS.2018.8474073}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BramnikS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CachoNAFCS18, author = {Florian Cacho and D. Nouguier and M. Arabi and X. Federspiel and Y. Carminati and M. Saliva}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Integrated Test Structures for Reliability Investigation under Dynamic Stimuli}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474074}, doi = {10.1109/IOLTS.2018.8474074}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CachoNAFCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CaoXLLLW18, author = {Xuebing Cao and Liyi Xiao and Linzhe Li and Jie Li and Jiaqiang Li and Jinxiang Wang}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Soft error optimization of combinational circuit based on gate sizing and multi-objective particle swarm optimization algorithm}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {165--170}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474275}, doi = {10.1109/IOLTS.2018.8474275}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CaoXLLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarbonaraFRM18, author = {Sara Carbonara and Andrea Firrincieli and Matteo Sonza Reorda and Jan{-}Gerd Mess}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {On the test of a COTS-based system for space applications}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {47--48}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474085}, doi = {10.1109/IOLTS.2018.8474085}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CarbonaraFRM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarelliVC18, author = {Alberto Carelli and Alessandro Vallero and Stefano Di Carlo}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Shielding Performance Monitor Counters: a double edged weapon for safety and security}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {269--274}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474191}, doi = {10.1109/IOLTS.2018.8474191}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CarelliVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Chatzidimitriou18, author = {Athanasios Chatzidimitriou and George Papadimitriou and Dimitris Gizopoulos}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {HealthLog Monitor: {A} Flexible System-Monitoring Linux Service}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {183--188}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474119}, doi = {10.1109/IOLTS.2018.8474119}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Chatzidimitriou18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChaudhariA18, author = {Ameya Chaudhari and Jacob A. Abraham}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Effective Control Flow Integrity Checks for Intrusion Detection}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {103--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474130}, doi = {10.1109/IOLTS.2018.8474130}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChaudhariA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Diaz-FortunyMRN18, author = {Javier Diaz{-}Fortuny and Javier Mart{\'{\i}}n{-}Mart{\'{\i}}nez and Rosana Rodr{\'{\i}}guez and Montserrat Nafr{\'{\i}}a and Rafael Castro{-}L{\'{o}}pez and Elisenda Roca and Francisco V. Fern{\'{a}}ndez}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {{CMOS} Characterization and Compact Modelling for Circuit Reliability Simulation}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {139--142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474244}, doi = {10.1109/IOLTS.2018.8474244}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Diaz-FortunyMRN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DounaviST18, author = {Helen{-}Maria Dounavi and Yiorgos Sfikas and Yiorgos Tsiatouhas}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Periodic Aging Monitoring in {SRAM} Sense Amplifiers}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {12--16}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474169}, doi = {10.1109/IOLTS.2018.8474169}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DounaviST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuCRS18, author = {Boyang Du and Josie E. Rodriguez Condia and Matteo Sonza Reorda and Luca Sterpone}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {About the functional test of the {GPGPU} scheduler}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {85--90}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474174}, doi = {10.1109/IOLTS.2018.8474174}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DuCRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DutertreBCFFGHL18, author = {Jean{-}Max Dutertre and Vincent Beroulle and Philippe Candelier and Louis{-}Barthelemy Faber and Marie{-}Lise Flottes and Philippe Gendrier and David H{\'{e}}ly and R{\'{e}}gis Leveugle and Paolo Maistri and Giorgio Di Natale and Athanasios Papadimitriou and Bruno Rouzeyre}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {The case of using {CMOS} {FD-SOI} rather than {CMOS} bulk to harden ICs against laser attacks}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {214--219}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474230}, doi = {10.1109/IOLTS.2018.8474230}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DutertreBCFFGHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspositoAV18, author = {Stefano Esposito and Serhiy Avramenko and Massimo Violante}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Efficient Software-Based Partitioning for Commercial-off-the-Shelf NoC-based MPSoCs for Mixed-Criticality Systems}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {189--194}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474155}, doi = {10.1109/IOLTS.2018.8474155}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspositoAV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspositoSV18, author = {Stefano Esposito and Jacopo Sini and Massimo Violante}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Real-Time Validation of Fault-Tolerant Mixed-Criticality Systems}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {245--246}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474091}, doi = {10.1109/IOLTS.2018.8474091}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspositoSV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FeitozaBMD18, author = {Renato S. Feitoza and Manuel J. Barrag{\'{a}}n and Salvador Mir and Daniel Dzahini}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Reduced-code static linearity test of {SAR} ADCs using a built-in incremental {\(\sum\)}{\(\Delta\)} converter}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {29--34}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474180}, doi = {10.1109/IOLTS.2018.8474180}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FeitozaBMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FloridiaSA18, author = {Andrea Floridia and Ernesto S{\'{a}}nchez and Nikolaos Andrikos}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Development flow of on-line Software Test Libraries for asynchronous processor cores}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {73--78}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474126}, doi = {10.1109/IOLTS.2018.8474126}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FloridiaSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GebregiorgisT18, author = {Anteneh Gebregiorgis and Mehdi Baradaran Tahoori}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Reliability And Performance Challenges Of Ultra-Low Voltage Caches: {A} Trade-Off Analysis}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {265--268}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474272}, doi = {10.1109/IOLTS.2018.8474272}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GebregiorgisT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GeorgiouKCR18, author = {Panagiotis Georgiou and Xrysovalantis Kavousianos and Riccardo Cantoro and Matteo Sonza Reorda}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Fault-Independent Test-Generation for Software-Based Self-Testing}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {79--84}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474081}, doi = {10.1109/IOLTS.2018.8474081}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GeorgiouKCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GomezAVR18, author = {Jorge Gomez and Angel Abusleme and Ioannis Vourkas and Antonio Rubio}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Resistive Switching Behavior seen from the Energy Point of View}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {147--150}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474167}, doi = {10.1109/IOLTS.2018.8474167}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GomezAVR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GuiterrezGL18, author = {Valentin Guiterrez and Antonio J. Gin{\'{e}}s and Gildas L{\'{e}}ger}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {{AMS-RF} test quality: Assessing defect severity}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {23--28}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474109}, doi = {10.1109/IOLTS.2018.8474109}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GuiterrezGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HanifKPR018, author = {Muhammad Abdullah Hanif and Faiq Khalid and Rachmad Vidya Wicaksana Putra and Semeen Rehman and Muhammad Shafique}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Robust Machine Learning Systems: Reliability and Security for Deep Neural Networks}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {257--260}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474192}, doi = {10.1109/IOLTS.2018.8474192}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HanifKPR018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HasegawaYT18, author = {Kento Hasegawa and Masao Yanagisawa and Nozomu Togawa}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Detecting the Existence of Malfunctions in Microcontrollers Utilizing Power Analysis}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {97--102}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474113}, doi = {10.1109/IOLTS.2018.8474113}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HasegawaYT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HillebrandPP18, author = {Theodor Hillebrand and Steffen Paul and Dagmar Peters{-}Drolshagen}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {A New Approach to Threshold Voltage Measurements of Transistors}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {207--213}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474086}, doi = {10.1109/IOLTS.2018.8474086}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HillebrandPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HosokawaNYYAYH18, author = {Toshinori Hosokawa and Morito Niseki and Masayoshi Yoshimura and Hiroshi Yamazaki and Masayuki Arai and Hiroyuki Yotsuyanagi and Masaki Hashizume}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {A Sequentially Untestable Fault Identification Method Based on n-Bit State Cube Justification}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {43--46}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474268}, doi = {10.1109/IOLTS.2018.8474268}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HosokawaNYYAYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HosokawaYTY18, author = {Toshinori Hosokawa and Hiroshi Yamazaki and Shun Takeda and Masayoshi Yoshimura}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {A Test Register Assignment Method Based on Controller Augmentation to Reduce the Number of Test Patterns}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {228--231}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474097}, doi = {10.1109/IOLTS.2018.8474097}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HosokawaYTY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IshikawaTYT18, author = {Ryota Ishikawa and Masashi Tawada and Masao Yanagisawa and Nozomu Togawa}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {An Effective Stochastic Number Duplicator and Its Evaluations Using Composite Arithmetic Circuits}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {53--56}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474263}, doi = {10.1109/IOLTS.2018.8474263}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/IshikawaTYT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarimiDG18, author = {Naghmeh Karimi and Jean{-}Luc Danger and Sylvain Guilley}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {On the Effect of Aging in Detecting Hardware Trojan Horses with Template Analysis}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {281--286}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474089}, doi = {10.1109/IOLTS.2018.8474089}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KarimiDG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Kharbouche-Harrari18, author = {Mounia Kharbouche{-}Harrari and J{\'{e}}r{\'{e}}my Postel{-}Pellerin and Gregory di Pendina and Romain Wacquez and Driss Aboulkassimi and Marc Bocquet and R. Sousa and R. Delattre and Jean{-}Michel Portal}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Impact of a Laser Pulse on a {STT-MRAM} Bitcell: Security and Reliability Issues}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {243--244}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474088}, doi = {10.1109/IOLTS.2018.8474088}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Kharbouche-Harrari18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KohanVN18, author = {Somayeh Sadeghi Kohan and Arash Vafaei and Zainalabedin Navabi}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Near-Optimal Node Selection Procedure for Aging Monitor Placement}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {6--11}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474120}, doi = {10.1109/IOLTS.2018.8474120}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KohanVN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KrsticSUW18, author = {Milos Krstic and Aleksandar Simevski and Markus Ulbricht and Stefan Weidling}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Power/Area-Optimized Fault Tolerance for Safety Critical Applications}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {123--126}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474178}, doi = {10.1109/IOLTS.2018.8474178}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KrsticSUW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ListlMKS18, author = {Alexandra Listl and Daniel Mueller{-}Gritschneder and Fabian Kluge and Ulf Schlichtmann}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Emulation of an {ASIC} Power, Temperature and Aging Monitor System for {FPGA} Prototyping}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {220--225}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474284}, doi = {10.1109/IOLTS.2018.8474284}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ListlMKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LuLM18, author = {Shyue{-}Kung Lu and Hui{-}Ping Li and Kohei Miyase}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Adaptive {ECC} Techniques for Reliability and Yield Enhancement of Phase Change Memory}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {226--227}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474118}, doi = {10.1109/IOLTS.2018.8474118}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LuLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MahmudMDK18, author = {Shakil Mahmud and Steve J. A. Majerus and Margot S. Damaser and Robert Karam}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Design Tradeoffs in Bioimplantable Devices: {A} Case Study with Bladder Pressure Monitoring}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {69--72}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474106}, doi = {10.1109/IOLTS.2018.8474106}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MahmudMDK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaierSMS18, author = {Petra R. Maier and Uzair Sharif and Daniel Mueller{-}Gritschneder and Ulf Schlichtmann}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Efficient Fault Injection for Embedded Systems: As Fast as Possible but as Accurate as Necessary}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {119--122}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474079}, doi = {10.1109/IOLTS.2018.8474079}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MaierSMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MartinEDN18, author = {Honorio Mart{\'{\i}}n and Luis Entrena and Sophie Dupuis and Giorgio Di Natale}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {A Novel Use of Approximate Circuits to Thwart Hardware Trojan Insertion and Provide Obfuscation}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {41--42}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474077}, doi = {10.1109/IOLTS.2018.8474077}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MartinEDN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MatrosovaOC18, author = {Anzhela Yu. Matrosova and Sergei Ostanin and Semen Chernyshov}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Finding False Paths for Sequential Circuits Using Operations on ROBDDs}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {240--242}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474213}, doi = {10.1109/IOLTS.2018.8474213}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MatrosovaOC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MomtazBPAC18, author = {Md Imran Momtaz and Suvadeep Banerjee and Sujay Pandey and Jacob A. Abraham and Abhijit Chatterjee}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Cross-Layer Control Adaptation for Autonomous System Resilience}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {261--264}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474159}, doi = {10.1109/IOLTS.2018.8474159}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MomtazBPAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MukhanovTNK18, author = {Lev Mukhanov and Konstantinos Tovletoglou and Dimitrios S. Nikolopoulos and Georgios Karakonstantis}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {{DRAM} Characterization under Relaxed Refresh Period Considering System Level Effects within a Commodity Server}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {236--239}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474184}, doi = {10.1109/IOLTS.2018.8474184}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MukhanovTNK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Novak18, author = {Ondrej Nov{\'{a}}k}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Test Compression Using Extended Nonlinear Binary Codes}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {255--256}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474242}, doi = {10.1109/IOLTS.2018.8474242}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Novak18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OchiYHY18, author = {Sayuri Ochi and Hiroshi Yamazaki and Toshinori Hosokawa and Masayoshi Yoshimura}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {A Capture Safe Static Test Compaction Method Based on Don't Cares}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {195--200}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474080}, doi = {10.1109/IOLTS.2018.8474080}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OchiYHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OkamuraIO18, author = {Yosuke Okamura and Tohru Ishihara and Hidetoshi Onodera}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Independent N-Well And P-Well Biasing For Minimum Leakage Energy Operation}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {177--182}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474128}, doi = {10.1109/IOLTS.2018.8474128}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OkamuraIO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PandeyBC18, author = {Sujay Pandey and Suvadeep Banerjee and Abhijit Chatterjee}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Error Resilient Neuromorphic Networks Using Checker Neurons}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {135--138}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474075}, doi = {10.1109/IOLTS.2018.8474075}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PandeyBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Perner018, author = {Martin Perner and Ulrich Schmid}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Self-Stabilizing High-Speed Communication in Multi-Synchronous {GALS} Architectures}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {157--164}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474221}, doi = {10.1109/IOLTS.2018.8474221}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Perner018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RaiolaKAGW0018, author = {Pascal Raiola and Michael A. Kochte and Ahmed Atteya and Laura Rodr{\'{\i}}guez G{\'{o}}mez and Hans{-}Joachim Wunderlich and Bernd Becker and Matthias Sauer}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Detecting and Resolving Security Violations in Reconfigurable Scan Networks}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {91--96}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474188}, doi = {10.1109/IOLTS.2018.8474188}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RaiolaKAGW0018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RehmanKPK018, author = {Semeen Rehman and Florian Kriebel and Bharath Srinivas Prabakaran and Faiq Khalid and Muhammad Shafique}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Hardware and Software Techniques for Heterogeneous Fault-Tolerance}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {115--118}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474219}, doi = {10.1109/IOLTS.2018.8474219}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RehmanKPK018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RodriguesKPB18, author = {Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt and Vincent Pouget and Alberto Bosio}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Performances {VS} Reliability: how to exploit Approximate Computing for Safety-Critical applications}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {291--294}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474122}, doi = {10.1109/IOLTS.2018.8474122}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RodriguesKPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RoshanisefatTGH18, author = {Shervin Roshanisefat and Harshith K. Thirumala and Kris Gaj and Houman Homayoun and Avesta Sasan}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Benchmarking the Capabilities and Limitations of {SAT} Solvers in Defeating Obfuscation Schemes}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {275--280}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474189}, doi = {10.1109/IOLTS.2018.8474189}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RoshanisefatTGH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SantenAH18, author = {Victor M. van Santen and Hussam Amrouch and J{\"{o}}rg Henkel}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Reliability Estimations of Large Circuits in Massively-Parallel {GPU-SPICE}}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {143--146}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474096}, doi = {10.1109/IOLTS.2018.8474096}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SantenAH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SchmidtMROD18, author = {Robert Schmidt and Rehab Massoud and Jaan Raik and Alberto Garc{\'{\i}}a Ortiz and Rolf Drechsler}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Reliability Improvements for Multiprocessor Systems by Health-Aware Task Scheduling}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {247--250}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474101}, doi = {10.1109/IOLTS.2018.8474101}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SchmidtMROD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SiniRVS18, author = {Jacopo Sini and Matteo Sonza Reorda and Massimo Violante and Peter Sarson}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Towards an automatic approach for hardware verification according to {ISO} 26262 functional safety standard}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {287--290}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474083}, doi = {10.1109/IOLTS.2018.8474083}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SiniRVS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SiniV18, author = {Jacopo Sini and Massimo Violante}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {An Automatic Approach to Perform {FMEDA} Safety Assessment on Hardware Designs}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {49--52}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474217}, doi = {10.1109/IOLTS.2018.8474217}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SiniV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TaherKS18, author = {Farah Naz Taher and Mostafa Kishani and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Design and Optimization of Reliable Hardware Accelerators: Leveraging the Advantages of High-Level Synthesis}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {232--235}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474222}, doi = {10.1109/IOLTS.2018.8474222}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TaherKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TchendjouS18, author = {Ghislain Takam Tchendjou and Emmanuel Simeu}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Self-Healing Imager Based on Detection and Conciliation of Defective Pixels}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {251--254}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474149}, doi = {10.1109/IOLTS.2018.8474149}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TchendjouS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Tenentes0A18, author = {Vasileios Tenentes and Daniele Rossi and Bashir M. Al{-}Hashimi}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Collective-Aware System-on-Chips for Dependable IoT Applications}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {57--60}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474172}, doi = {10.1109/IOLTS.2018.8474172}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Tenentes0A18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TianSA18, author = {Ninghan Tian and Daniel G. Saab and Jacob A. Abraham}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {{ESIFT:} Efficient System for Error Injection}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {201--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474160}, doi = {10.1109/IOLTS.2018.8474160}, timestamp = {Mon, 08 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TianSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TraiolaSBCB18, author = {Marcello Traiola and Alessandro Savino and Mario Barbareschi and Stefano Di Carlo and Alberto Bosio}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Predicting the Impact of Functional Approximation: from Component- to Application-Level}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {61--64}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474072}, doi = {10.1109/IOLTS.2018.8474072}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TraiolaSBCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TsiokanosMNK18, author = {Ioannis Tsiokanos and Lev Mukhanov and Dimitrios S. Nikolopoulos and Georgios Karakonstantis}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Minimization of Timing Failures in Pipelined Designs via Path Shaping and Operand Truncation}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {171--176}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474084}, doi = {10.1109/IOLTS.2018.8474084}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TsiokanosMNK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VatajeluAPBP18, author = {Elena{-}Ioana Vatajelu and Lorena Anghel and Jean{-}Michel Portal and Marc Bocquet and Guillaume Prenat}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Resistive and Spintronic RAMs: Device, Simulation, and Applications}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {109--114}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474226}, doi = {10.1109/IOLTS.2018.8474226}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VatajeluAPBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VayssadeALL18, author = {T. Vayssade and Florence Aza{\"{\i}}s and Laurent Latorre and Francois Lefevre}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Low-cost functional test of a 2.4 GHz {OQPSK} transmitter using standard digital {ATE}}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {17--22}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474229}, doi = {10.1109/IOLTS.2018.8474229}, timestamp = {Thu, 11 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/VayssadeALL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2018, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8450543/proceeding}, isbn = {978-1-5386-5992-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/0001JTPS17, author = {Binod Kumar and Ankit Jindal and Jaynarayan T. Tudu and Brajesh Pandey and Virendra Singh}, title = {Revisiting random access scan for effective enhancement of post-silicon observability}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {132--137}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046208}, doi = {10.1109/IOLTS.2017.8046208}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/0001JTPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbrahamBC17, author = {Jacob A. Abraham and Suvadeep Banerjee and Abhijit Chatterjee}, title = {Design of efficient error resilience in signal processing and control systems: From algorithms to circuits}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {192--195}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046241}, doi = {10.1109/IOLTS.2017.8046241}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AbrahamBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbufalghaB17, author = {Mohamed A. Abufalgha and Alex Bystrov}, title = {Design-time reliability evaluation for digital circuits}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {39--44}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046196}, doi = {10.1109/IOLTS.2017.8046196}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AbufalghaB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AdeyemoJMMNO17, author = {Adedotun Adeyemo and Abusaleh M. Jabir and Jimson Mathew and Eugenio Martinelli and Corrado Di Natale and Marco Ottavi}, title = {Reliable gas sensing with memristive array}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {244--246}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046228}, doi = {10.1109/IOLTS.2017.8046228}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AdeyemoJMMNO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuEGN17, author = {Dan Alexandrescu and Adrian Evans and Maximilien Glorieux and Issam Nofal}, title = {{EDA} support for functional safety - How static and dynamic failure analysis can improve productivity in the assessment of functional safety}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {145--150}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046210}, doi = {10.1109/IOLTS.2017.8046210}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuEGN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlordaTB17, author = {Bartomeu Alorda and Gabriel Torrens and Sebasti{\`{a}} A. Bota}, title = {6T {CMOS} SRAMs reliability monitoring through stability measurements}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {93--95}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046239}, doi = {10.1109/IOLTS.2017.8046239}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlordaTB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AndjelkovicKK17, author = {Marko S. Andjelkovic and Milos Krstic and Rolf Kraemer}, title = {Assessment of the amplitude-duration criterion for {SET/SEU} robustness evaluation}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {9--12}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046169}, doi = {10.1109/IOLTS.2017.8046169}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AndjelkovicKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BackhausenBBLHK17, author = {Ulrich Backhausen and Oscar Ballan and Paolo Bernardi and Sergio de Luca and Julie Henzler and Thomas Kern and Davide Piumatti and Thomas Rabenalt and Krishnapriya Chakiat Ramamoorthy and Ernesto S{\'{a}}nchez and Alessandro Sansonetti and Rudolf Ullmann and Federico Venini and Robert Wiesner}, title = {Robustness in automotive electronics: An industrial overview of major concerns}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {157--162}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046234}, doi = {10.1109/IOLTS.2017.8046234}, timestamp = {Wed, 13 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BackhausenBBLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BernardiRSR17, author = {Paolo Bernardi and Marco Restifo and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda}, title = {On the in-field test of embedded memories}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {67--70}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046236}, doi = {10.1109/IOLTS.2017.8046236}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BernardiRSR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CachoBSMHA17, author = {Florian Cacho and Ahmed Benhassain and Riddhi Jitendrakumar Shah and Souhir Mhira and Vincent Huard and Lorena Anghel}, title = {Investigation of critical path selection for in-situ monitors insertion}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {247--252}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046229}, doi = {10.1109/IOLTS.2017.8046229}, timestamp = {Tue, 12 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CachoBSMHA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChengMWC17, author = {Da Cheng and Amitava Majumdar and Xiaobao Wang and Nui Chong}, title = {Field profiling {\&} monitoring of payload transistors in FPGAs}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {180--185}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046215}, doi = {10.1109/IOLTS.2017.8046215}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChengMWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DossoV17, author = {Fangan{-}Yssouf Dosso and Pascal V{\'{e}}ron}, title = {Cache timing attacks countermeasures and error detection in Euclidean addition chains based scalar multiplication algorithm for elliptic curves}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {163--168}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046212}, doi = {10.1109/IOLTS.2017.8046212}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DossoV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Escudero-LopezM17, author = {Manuel Escudero{-}Lopez and Francesc Moll and Antonio Rubio and Ioannis Vourkas}, title = {An on-line test strategy and analysis for a 1T1R crossbar memory}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {120--125}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046206}, doi = {10.1109/IOLTS.2017.8046206}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Escudero-LopezM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspositoV17, author = {Stefano Esposito and Massimo Violante}, title = {Deterministic network on chip for deploying real time applications on many-core processors}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {21--24}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046172}, doi = {10.1109/IOLTS.2017.8046172}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspositoV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EychenneZ17, author = {Christophe Eychenne and Yervant Zorian}, title = {An effective functional safety infrastructure for system-on-chips}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {63--66}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046235}, doi = {10.1109/IOLTS.2017.8046235}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EychenneZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GolanbariT17, author = {Mohammad Saber Golanbari and Mehdi Baradaran Tahoori}, title = {Design flows for resilient energy-efficient systems}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {233--236}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046243}, doi = {10.1109/IOLTS.2017.8046243}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GolanbariT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HageGFS17, author = {Nihar Hage and Rohini Gulve and Masahiro Fujita and Virendra Singh}, title = {Instruction-based self-test for delay faults maximizing operating temperature}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {259--264}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046231}, doi = {10.1109/IOLTS.2017.8046231}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HageGFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HamadKMS17, author = {Ghaith Bany Hamad and Ghaith Kazma and Otmane A{\"{\i}}t Mohamed and Yvon Savaria}, title = {Comprehensive analysis of sequential circuits vulnerability to transient faults using {SMT}}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {33--38}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046195}, doi = {10.1109/IOLTS.2017.8046195}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HamadKMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HasegawaYT17, author = {Kento Hasegawa and Masao Yanagisawa and Nozomu Togawa}, title = {Hardware Trojans classification for gate-level netlists using multi-layer neural networks}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {227--232}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046227}, doi = {10.1109/IOLTS.2017.8046227}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HasegawaYT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HosokawaTYY17, author = {Toshinori Hosokawa and Shun Takeda and Hiroshi Yamazaki and Masayoshi Yoshimura}, title = {Controller augmentation and test point insertion at {RTL} for concurrent operational unit testing}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {17--20}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046171}, doi = {10.1109/IOLTS.2017.8046171}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HosokawaTYY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IbrahimK17, author = {Ahmed Ibrahim and Hans G. Kerkhoff}, title = {A cost-efficient dependability management framework for self-aware system-on-chips based on {IEEE} 1687}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046166}, doi = {10.1109/IOLTS.2017.8046166}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/IbrahimK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarousosPKK17, author = {Nikolaos Karousos and Konstantinos Pexaras and Irene G. Karybali and Emmanouil Kalligeros}, title = {Weighted logic locking: {A} new approach for {IC} piracy protection}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {221--226}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046226}, doi = {10.1109/IOLTS.2017.8046226}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KarousosPKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarpBK17, author = {Batya Karp and Yerucham Berkowitz and Osnat Keren}, title = {Jamming resistant encoding for non-uniformly distributed information}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {169--173}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046213}, doi = {10.1109/IOLTS.2017.8046213}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KarpBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KimLM17, author = {Woongrae Kim and Taizhi Liu and Linda Milor}, title = {On-line monitoring of system health using on-chip SRAMs as a wearout sensor}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {253--258}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046230}, doi = {10.1109/IOLTS.2017.8046230}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KimLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KlockmannGG17, author = {Alexander Klockmann and Georg Georgakos and Michael G{\"{o}}ssel}, title = {A new 3-bit burst-error correcting code}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {3--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046167}, doi = {10.1109/IOLTS.2017.8046167}, timestamp = {Sun, 17 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KlockmannGG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Kourfali0S17, author = {Alexandra Kourfali and Amit Kulkarni and Dirk Stroobandt}, title = {{SICTA:} {A} superimposed in-circuit fault tolerant architecture for SRAM-based FPGAs}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {5--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046168}, doi = {10.1109/IOLTS.2017.8046168}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Kourfali0S17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KrakovinskyBWCP17, author = {Alexis Krakovinsky and Marc Bocquet and Romain Wacquez and Jean Coignus and Jean{-}Michel Portal}, title = {Thermal laser attack and high temperature heating on HfO2-based OxRAM cells}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {85--89}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046202}, doi = {10.1109/IOLTS.2017.8046202}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KrakovinskyBWCP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MakhloufGGKVUWV17, author = {Mohamed Makhlouf and Diana Goller and Lutz Gendrisch and Stephan Kolnsberg and Franz Vogt and Alexander Utz and Dirk Weiler and Holger Vogt}, title = {Automating wafer-level test of uncooled infrared detectors using wafer-prober}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {13--16}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046170}, doi = {10.1109/IOLTS.2017.8046170}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MakhloufGGKVUWV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MatrosovaMOK17, author = {Anjela Yu. Matrosova and Eugeniy Mitrofanov and Sergei Ostanin and Irina Kirienko}, title = {Trojan circuits preventing and masking in sequential circuits}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {213--214}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046224}, doi = {10.1109/IOLTS.2017.8046224}, timestamp = {Mon, 25 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MatrosovaMOK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MhiraHBCNJPB17, author = {Souhir Mhira and Vincent Huard and Ahmed Benhassain and Florian Cacho and Sylvie Naudet and Abhishek Jain and C. R. Parthasarathy and Alain Bravaix}, title = {Dynamic aging compensation and Safety measures in Automotive environment}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {106--112}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046204}, doi = {10.1109/IOLTS.2017.8046204}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MhiraHBCNJPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MirandaVPGL17, author = {Jose Angel Miranda and Anna Vaskova and Marta Portela{-}Garc{\'{\i}}a and Mario Garc{\'{\i}}a{-}Valderas and Celia L{\'{o}}pez{-}Ongil}, title = {On-line testing of sensor networks: {A} case study}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {201--202}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046218}, doi = {10.1109/IOLTS.2017.8046218}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MirandaVPGL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MiuraY17, author = {Yukiya Miura and Takuya Yamamoto}, title = {Simulation-based analysis of {FF} behavior in presence of power supply noise}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {151--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046211}, doi = {10.1109/IOLTS.2017.8046211}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MiuraY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MomtazBC17, author = {Md Imran Momtaz and Suvadeep Banerjee and Abhijit Chatterjee}, title = {Probabilistic error detection and correction in switched capacitor circuits using checksum codes}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {271--276}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046233}, doi = {10.1109/IOLTS.2017.8046233}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MomtazBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MuhlbauerSS17, author = {Felix M{\"{u}}hlbauer and Lukas Schr{\"{o}}der and Mario Sch{\"{o}}lzel}, title = {Handling of permanent faults in dynamically scheduled processors}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {203--204}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046219}, doi = {10.1109/IOLTS.2017.8046219}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MuhlbauerSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NataleKBPL17, author = {Giorgio Di Natale and Maha Kooli and Alberto Bosio and Michele Portolan and R{\'{e}}gis Leveugle}, title = {Reliability of computing systems: From flip flops to variables}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {196--198}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046242}, doi = {10.1109/IOLTS.2017.8046242}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NataleKBPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NofalEHGLCLWCBW17, author = {Issam Nofal and Adrian Evans and Anlin He and Gang Guo and Yuanqing Li and Li Chen and Rui Liu and Haibin Wang and Mo Chen and Sang H. Baeg and Shi{-}Jie Wen and Richard Wong}, title = {{BPPT} - Bulk potential protection technique for hardened sequentials}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {28--32}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046194}, doi = {10.1109/IOLTS.2017.8046194}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NofalEHGLCLWCBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OyaYT17, author = {Masaru Oya and Masao Yanagisawa and Nozomu Togawa}, title = {Hardware Trojan detection and classification based on steady state learning}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {215--220}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046225}, doi = {10.1109/IOLTS.2017.8046225}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OyaYT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PanadesBBT17, author = {Ivan Miro Panades and Edith Beign{\'{e}} and Olivier Billoint and Yvain Thonnart}, title = {In-situ Fmax/Vmin tracking for energy efficiency and reliability optimization}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {96--99}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046240}, doi = {10.1109/IOLTS.2017.8046240}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PanadesBBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PapadimitriouKC17, author = {George Papadimitriou and Manolis Kaliorakis and Athanasios Chatzidimitriou and Charalampos Magdalinos and Dimitris Gizopoulos}, title = {Voltage margins identification on commercial x86-64 multicore microprocessors}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {51--56}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046198}, doi = {10.1109/IOLTS.2017.8046198}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PapadimitriouKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PatelCM17, author = {Harsh N. Patel and Benton H. Calhoun and Randy W. Mann}, title = {Soft errors: Reliability challenges in energy-constrained {ULP} body sensor networks applications}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {209--210}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046222}, doi = {10.1109/IOLTS.2017.8046222}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PatelCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PfeiferHV17, author = {Petr Pfeifer and Farnoosh Hosseinzadeh and Heinrich Theodor Vierhaus}, title = {On comparison of robust configurable {FPGA} encoders for dependable industrial communication systems}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {199--200}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046217}, doi = {10.1109/IOLTS.2017.8046217}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PfeiferHV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ReddyHSGM17, author = {P. Madhukar Reddy and Stavros Hadjitheophanous and Vassos Soteriou and Paul V. Gratz and Maria K. Michael}, title = {Minimal exercise vector generation for reliability improvement}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {113--119}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046205}, doi = {10.1109/IOLTS.2017.8046205}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ReddyHSGM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RubioEP17, author = {Antonio Rubio and Manuel Escudero and Peyman Pouyan}, title = {Reliability issues in {RRAM} ternary memories affected by variability and aging mechanisms}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {90--92}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046238}, doi = {10.1109/IOLTS.2017.8046238}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RubioEP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ScharobaV17, author = {Stefan Scharoba and Heinrich Theodor Vierhaus}, title = {Fast power overhead prediction for hardware redundancy-based fault tolerance}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {265--270}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046232}, doi = {10.1109/IOLTS.2017.8046232}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ScharobaV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SchmidtOF17, author = {Robert Schmidt and Alberto Garc{\'{\i}}a Ortiz and G{\"{o}}rschwin Fey}, title = {Temporal redundancy latch-based architecture for soft error mitigation}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {240--243}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046245}, doi = {10.1109/IOLTS.2017.8046245}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SchmidtOF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SchollBW17, author = {Alexander Sch{\"{o}}ll and Claus Braun and Hans{-}Joachim Wunderlich}, title = {Energy-efficient and error-resilient iterative solvers for approximate computing}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {237--239}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046244}, doi = {10.1109/IOLTS.2017.8046244}, timestamp = {Tue, 21 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SchollBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SfikasT17, author = {Yiorgos Sfikas and Yiorgos Tsiatouhas}, title = {Variation tolerant {BTI} monitoring in {SRAM} cells}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {100--105}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046203}, doi = {10.1109/IOLTS.2017.8046203}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SfikasT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShahMS17, author = {Toral Shah and Anzhela Yu. Matrosova and Virendra Singh}, title = {Test pattern generation to detect multiple faults in {ROBDD} based combinational circuits}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {211--212}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046223}, doi = {10.1109/IOLTS.2017.8046223}, timestamp = {Mon, 25 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ShahMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShaheenBHZ17, author = {Hanna Shaheen and Gabriele Boschi and Gurgen Harutyunyan and Yervant Zorian}, title = {Advanced {ECC} solution for automotive SoCs}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {71--73}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046237}, doi = {10.1109/IOLTS.2017.8046237}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ShaheenBHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShiN17, author = {Xiaobing Shi and Nicola Nicolici}, title = {A generic embedded sequence generator for constrained-random validation with weighted distributions}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {57--62}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046199}, doi = {10.1109/IOLTS.2017.8046199}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ShiN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SterponeB17, author = {Luca Sterpone and Luca Boragno}, title = {Analysis of radiation-induced cross domain errors in {TMR} architectures on SRAM-based FPGAs}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {174--179}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046214}, doi = {10.1109/IOLTS.2017.8046214}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SterponeB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SuzukiMN17, author = {Koyo Suzuki and Katsuyoshi Miura and Koji Nakamae}, title = {{NBTI/PBTI} tolerant arbiter {PUF} circuits}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {80--84}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046201}, doi = {10.1109/IOLTS.2017.8046201}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SuzukiMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TajikFLSB17, author = {Shahin Tajik and Julian Fietkau and Heiko Lohrke and Jean{-}Pierre Seifert and Christian Boit}, title = {PUFMon: Security monitoring of FPGAs using physically unclonable functions}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {186--191}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046216}, doi = {10.1109/IOLTS.2017.8046216}, timestamp = {Sun, 26 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TajikFLSB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TalafyZ17, author = {Javad Talafy and Hamid R. Zarandi}, title = {Soft error analysis of MTJ-based logic-in-memory full adder: Threats and solution}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {207--208}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046221}, doi = {10.1109/IOLTS.2017.8046221}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TalafyZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ToulasT17, author = {Theodoros Toulas and Spyros Tragoudas}, title = {Diagnosis with transition faults on embedded segments}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {25--27}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046173}, doi = {10.1109/IOLTS.2017.8046173}, timestamp = {Tue, 26 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ToulasT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TovletoglouNK17, author = {Konstantinos Tovletoglou and Dimitrios S. Nikolopoulos and Georgios Karakonstantis}, title = {Relaxing {DRAM} refresh rate through access pattern scheduling: {A} case study on stencil-based algorithms}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {45--50}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046197}, doi = {10.1109/IOLTS.2017.8046197}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TovletoglouNK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ValleroGC17, author = {Alessandro Vallero and Dimitris Gizopoulos and Stefano Di Carlo}, title = {{SIFI:} {AMD} southern islands {GPU} microarchitectural level fault injector}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {138--144}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046209}, doi = {10.1109/IOLTS.2017.8046209}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ValleroGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VatajeluA17, author = {Elena{-}Ioana Vatajelu and Lorena Anghel}, title = {Reliability analysis of MTJ-based functional module for neuromorphic computing}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {126--131}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046207}, doi = {10.1109/IOLTS.2017.8046207}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VatajeluA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YeGHL17, author = {Jing Ye and Yue Gong and Yu Hu and Xiaowei Li}, title = {Polymorphic {PUF:} Exploiting reconfigurability of {CPU+FPGA} SoC to resist modeling attack}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {205--206}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046220}, doi = {10.1109/IOLTS.2017.8046220}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YeGHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YeHL17, author = {Jing Ye and Yu Hu and Xiaowei Li}, title = {{VPUF:} Voter based physical unclonable function with high reliability and modeling attack resistance}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {74--79}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046200}, doi = {10.1109/IOLTS.2017.8046200}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YeHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2017, title = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8030509/proceeding}, isbn = {978-1-5386-0352-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AdeyemoYBMJ16, author = {Adedotun Adeyemo and Xiaohan Yang and Anu Bala and Jimson Mathew and Abusaleh M. Jabir}, title = {Analytic models for crossbar read operation}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {3--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604657}, doi = {10.1109/IOLTS.2016.7604657}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AdeyemoYBMJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AhlawatTMS16, author = {Satyadev Ahlawat and Jaynarayan T. Tudu and Anzhela Yu. Matrosova and Virendra Singh}, title = {A high performance scan flip-flop design for serial and mixed mode scan test}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {233--238}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604709}, doi = {10.1109/IOLTS.2016.7604709}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AhlawatTMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlordaCTB16, author = {Bartomeu Alorda and Cristian Carmona and Gabriel Torrens and Sebasti{\`{a}} A. Bota}, title = {On-line write margin estimator to monitor performance degradation in {SRAM} cores}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {90--95}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604678}, doi = {10.1109/IOLTS.2016.7604678}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlordaCTB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AndjelkovicIPNS16, author = {Marko S. Andjelkovic and Aleksandar Ilic and Vladimir Petrovic and Miljana Nenadovic and Zoran Stamenkovic and Goran S. Ristic}, title = {{SET} response of a {SEL} protection switch for 130 and 250 nm {CMOS} technologies}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {185--190}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604695}, doi = {10.1109/IOLTS.2016.7604695}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AndjelkovicIPNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AvramenkoRVFMS16, author = {Serhiy Avramenko and Matteo Sonza Reorda and Massimo Violante and G{\"{o}}rschwin Fey and Jan{-}Gerd Mess and Robert Schmidt}, title = {On the robustness of DCT-based compression algorithms for space applications}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604656}, doi = {10.1109/IOLTS.2016.7604656}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AvramenkoRVFMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BhowmikBD16, author = {Biswajit Bhowmik and Santosh Biswas and Jatindra Kumar Deka}, title = {An odd-even scheme to prevent a packet from being corrupted and dropped in fault tolerant NoCs}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {195--198}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604698}, doi = {10.1109/IOLTS.2016.7604698}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BhowmikBD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BhowmikDB16, author = {Biswajit Bhowmik and Jatindra Kumar Deka and Santosh Biswas}, title = {An on-line test solution for addressing interconnect shorts in on-chip networks}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {9--12}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604660}, doi = {10.1109/IOLTS.2016.7604660}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BhowmikDB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BravaixSCFNMKPH16, author = {Alain Bravaix and M. Saliva and Florian Cacho and X. Federspiel and Cheikh Ndiaye and Souhir Mhira and Edith Kussener and E. Pauly and Vincent Huard}, title = {Hot-carrier and {BTI} damage distinction for high performance digital application in 28nm {FDSOI} and 28nm {LP} {CMOS} nodes}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {43--46}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604669}, doi = {10.1109/IOLTS.2016.7604669}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BravaixSCFNMKPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BuK16, author = {Lake Bu and Mark G. Karpovsky}, title = {A hybrid self-diagnosis mechanism with defective nodes locating and attack detection for parallel computing systems}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {245--250}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604711}, doi = {10.1109/IOLTS.2016.7604711}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BuK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CachoBMSHCKJPA16, author = {Florian Cacho and Ahmed Benhassain and Souhir Mhira and Ajith Sivadasan and Vincent Huard and P. Cathelin and Vincent Knopik and Abhishek Jain and C. R. Parthasarathy and Lorena Anghel}, title = {Activity profiling: Review of different solutions to develop reliable and performant design}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {47--50}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604670}, doi = {10.1109/IOLTS.2016.7604670}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CachoBMSHCKJPA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CaiHR16, author = {Jiajing Cai and Basel Halak and Daniele Rossi}, title = {Analysis of {BTI} aging of level shifters}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {17--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604662}, doi = {10.1109/IOLTS.2016.7604662}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CaiHR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CantoroMRZL16, author = {Riccardo Cantoro and Mehrdad Montazeri and Matteo Sonza Reorda and Farrokh Ghani Zadegan and Erik Larsson}, title = {Automatic generation of stimuli for fault diagnosis in {IEEE} 1687 networks}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {167--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604692}, doi = {10.1109/IOLTS.2016.7604692}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CantoroMRZL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChamponBPHGC16, author = {Romain Champon and Vincent Beroulle and Athanasios Papadimitriou and David H{\'{e}}ly and Gilles Gen{\'{e}}vrier and Fr{\'{e}}d{\'{e}}ric C{\'{e}}zilly}, title = {Comparison of {RTL} fault models for the robustness evaluation of aerospace {FPGA} devices}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {23--24}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604664}, doi = {10.1109/IOLTS.2016.7604664}, timestamp = {Tue, 26 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChamponBPHGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChenYZ016, author = {Xiang Chen and Wenhui Yang and Ming Zhao and Jing Wang}, title = {HLS-based sensitivity-inductive soft error mitigation for satellite communication systems}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {143--148}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604688}, doi = {10.1109/IOLTS.2016.7604688}, timestamp = {Mon, 28 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChenYZ016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChenZB16, author = {Rongmei Chen and Enxia Zhang and Bharat L. Bhuva}, title = {Single-event performance of differential flip-flop designs and hardening implication}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {221--226}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604707}, doi = {10.1109/IOLTS.2016.7604707}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChenZB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChibaniPL16, author = {K. Chibani and Michele Portolan and R{\'{e}}gis Leveugle}, title = {Evaluating application-aware soft error effects in digital circuits without fault injections or probabilistic computations}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {54--59}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604672}, doi = {10.1109/IOLTS.2016.7604672}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChibaniPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EbrahimiRKTN16, author = {Mojtaba Ebrahimi and Maryam Rashvand and Firas Kaddachi and Mehdi Baradaran Tahoori and Giorgio Di Natale}, title = {Revisiting software-based soft error mitigation techniques via accurate error generation and propagation models}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {66--71}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604674}, doi = {10.1109/IOLTS.2016.7604674}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EbrahimiRKTN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspinosaHA16, author = {Jaime Espinosa and Carles Hern{\'{a}}ndez and Jaume Abella}, title = {Modeling {RTL} fault models behavior to increase the confidence on TSIM-based fault injection}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {60--65}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604673}, doi = {10.1109/IOLTS.2016.7604673}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspinosaHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspositoVSTT16, author = {Stefano Esposito and Massimo Violante and Marco Sozzi and Marco Terrone and Massimo Traversone}, title = {Online time interference detection in mixed-criticality applications on multicore architectures using performance counters}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {213--214}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604704}, doi = {10.1109/IOLTS.2016.7604704}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspositoVSTT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FilippouKMN16, author = {Filippos Filippou and Georgios Keramidas and Michail Mavropoulos and Dimitris Nikolos}, title = {Recovery of performance degradation in defective branch target buffers}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {96--102}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604679}, doi = {10.1109/IOLTS.2016.7604679}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FilippouKMN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GaoRM16, author = {Zhen Gao and Pedro Reviriego and Juan Antonio Maestro}, title = {Efficient fault tolerant parallel matrix-vector multiplications}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {25--26}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604665}, doi = {10.1109/IOLTS.2016.7604665}, timestamp = {Mon, 27 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GaoRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GopalakrishnanS16, author = {Shoba Gopalakrishnan and Virendra Singh}, title = {{REMO:} Redundant execution with minimum area, power, performance overhead fault tolerant architecture}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {109--114}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604681}, doi = {10.1109/IOLTS.2016.7604681}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GopalakrishnanS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GutierrezTK16, author = {Mauricio D. Gutierrez and Vasileios Tenentes and Tom J. Kazmierski}, title = {Susceptible workload driven selective fault tolerance using a probabilistic fault model}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {115--120}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604682}, doi = {10.1109/IOLTS.2016.7604682}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GutierrezTK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HasegawaOYT16, author = {Kento Hasegawa and Masaru Oya and Masao Yanagisawa and Nozomu Togawa}, title = {Hardware Trojans classification for gate-level netlists based on machine learning}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {203--206}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604700}, doi = {10.1109/IOLTS.2016.7604700}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HasegawaOYT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HillebrandTTPP16, author = {Theodor Hillebrand and Maike Taddiken and Konstantin Tscherkaschin and Steffen Paul and Dagmar Peters{-}Drolshagen}, title = {Online monitoring of {NBTI} and {HCD} in beta-multiplier circuits}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {209--210}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604702}, doi = {10.1109/IOLTS.2016.7604702}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HillebrandTTPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JiaoQK16, author = {Hailong Jiao and Yongmin Qiu and Volkan Kursun}, title = {Variations-tolerant 9T {SRAM} circuit with robust and low leakage {SLEEP} mode}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {39--42}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604668}, doi = {10.1109/IOLTS.2016.7604668}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JiaoQK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KapoorEV16, author = {Ajay Kapoor and Nur Engin and Johan Verdaasdonk}, title = {Leakage mitigation for low power microcontroller design in 40nm for Internet-of-Things (IoT)}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {126--129}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604684}, doi = {10.1109/IOLTS.2016.7604684}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KapoorEV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KimHPV16, author = {Hyunmin Kim and Seokhie Hong and Bart Preneel and Ingrid Verbauwhede}, title = {Binary decision diagram to design balanced secure logic styles}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {239--244}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604710}, doi = {10.1109/IOLTS.2016.7604710}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KimHPV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KooliNB16, author = {Maha Kooli and Giorgio Di Natale and Alberto Bosio}, title = {Cache-aware reliability evaluation through LLVM-based analysis and fault injection}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {19--22}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604663}, doi = {10.1109/IOLTS.2016.7604663}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KooliNB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KoserS16, author = {Erol Koser and Walter Stechele}, title = {Tackling long duration transients in sequential logic}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {137--142}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604687}, doi = {10.1109/IOLTS.2016.7604687}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KoserS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MahdaviSM16, author = {Zeinab Mahdavi and Zahra Shirmohammadi and Seyed Ghassem Miremadi}, title = {{ACM:} Accurate crosstalk modeling to predict channel delay in Network-on-Chips}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {7--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604659}, doi = {10.1109/IOLTS.2016.7604659}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MahdaviSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MasudaHO16, author = {Yutaka Masuda and Masanori Hashimoto and Takao Onoye}, title = {Hardware-simulation correlation of timing error detection performance of software-based error detection mechanisms}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {84--89}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604677}, doi = {10.1109/IOLTS.2016.7604677}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MasudaHO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MatrosovaOKN16, author = {Anzhela Yu. Matrosova and Sergey Ostanin and Irina Kirienko and Ekaterina Nikolaeva}, title = {A fault-tolerant sequential circuit design for SAFs and PDFs soft errors}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {5--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604658}, doi = {10.1109/IOLTS.2016.7604658}, timestamp = {Mon, 25 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MatrosovaOKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MispanHZ16, author = {Mohd Syafiq Mispan and Basel Halak and Mark Zwolinski}, title = {{NBTI} aging evaluation of PUF-based differential architectures}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {103--108}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604680}, doi = {10.1109/IOLTS.2016.7604680}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MispanHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NejatHB16, author = {Arash Nejat and David H{\'{e}}ly and Vincent Beroulle}, title = {Reusing logic masking to facilitate path-delay-based hardware Trojan detection}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {191--192}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604696}, doi = {10.1109/IOLTS.2016.7604696}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NejatHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NicolaidisD16, author = {Michael Nicolaidis and Michael G. Dimopoulos}, title = {Advanced double-sampling architectures}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {130--132}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604685}, doi = {10.1109/IOLTS.2016.7604685}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NicolaidisD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OuattaraHS16, author = {Boukary Ouattara and Olivier H{\'{e}}ron and Chiara Sandionigi}, title = {Fine-grain analysis of the parameters involved in aging of digital circuits}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {51--53}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604671}, doi = {10.1109/IOLTS.2016.7604671}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OuattaraHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OyaYT16, author = {Masaru Oya and Masao Yanagisawa and Nozomu Togawa}, title = {Redesign for untrusted gate-level netlists}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {219--220}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604706}, doi = {10.1109/IOLTS.2016.7604706}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OyaYT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PandeyBC16, author = {Sujay Pandey and Suvadeep Banerjee and Abhijit Chatterjee}, title = {Concurrent error detection and tolerance in Kalman filters using encoded state and statistical covariance checks}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {161--166}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604691}, doi = {10.1109/IOLTS.2016.7604691}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PandeyBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PapadimitriouCG16, author = {George Papadimitriou and Athanasios Chatzidimitriou and Dimitris Gizopoulos and Ronny Morad}, title = {ISA-independent post-silicon validation for the address translation mechanisms of modern microprocessors}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {72--77}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604675}, doi = {10.1109/IOLTS.2016.7604675}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PapadimitriouCG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RanaCAR16, author = {Manish Rana and Ramon Canal and Esteve Amat and Antonio Rubio}, title = {Statistical analysis and comparison of 2T and 3T1D e-DRAM minimum energy operation}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {33--38}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604667}, doi = {10.1109/IOLTS.2016.7604667}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RanaCAR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SamimiAKFP16, author = {Mohammad Saleh Samimi and Ehsan Aerabi and Zahra Kazemi and Mahdi Fazeli and Ahmad Patooghy}, title = {Hardware enlightening: No where to hide your Hardware Trojans!}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {251--256}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604712}, doi = {10.1109/IOLTS.2016.7604712}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SamimiAKFP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SavinoCVPGE16, author = {Alessandro Savino and Stefano Di Carlo and Alessandro Vallero and Gianfranco Politano and Dimitris Gizopoulos and Adrian Evans}, title = {{RIIF-2:} Toward the next generation reliability information interchange format}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {173--178}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604693}, doi = {10.1109/IOLTS.2016.7604693}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SavinoCVPGE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Serrano-CasesIC16, author = {Alejandro Serrano{-}Cases and Jose Isaza{-}Gonzalez and Sergio Cuenca{-}Asensi and Antonio Mart{\'{\i}}nez{-}{\'{A}}lvarez}, title = {On the influence of compiler optimizations in the fault tolerance of embedded systems}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {207--208}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604701}, doi = {10.1109/IOLTS.2016.7604701}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Serrano-CasesIC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SismanoglouN16, author = {Panagiotis Sismanoglou and Dimitris Nikolos}, title = {Conditional soft-edge flip-flop for {SET} mitigation}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {227--232}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604708}, doi = {10.1109/IOLTS.2016.7604708}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SismanoglouN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SkoncejMKSS16, author = {Patryk Skoncej and Felix M{\"{u}}hlbauer and Felix Kubicek and Lukas Schr{\"{o}}der and Mario Sch{\"{o}}lzel}, title = {Feasibility of software-based repair for program memories}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {199--202}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604699}, doi = {10.1109/IOLTS.2016.7604699}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SkoncejMKSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SterponeCFLSD16, author = {Luca Sterpone and Gianpiero Cabodi and Sebastiano F. Finocchiaro and Carmelo Loiacono and Francesco Savarese and Boyang Du}, title = {Scalable {FPGA} graph model to detect routing faults}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {155--160}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604690}, doi = {10.1109/IOLTS.2016.7604690}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SterponeCFLSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SureshMAS16, author = {Chandra K. H. Suresh and Bodhisatwa Mazumdar and Sk Subidh Ali and Ozgur Sinanoglu}, title = {Power-side-channel analysis of carbon nanotube {FET} based design}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {215--218}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604705}, doi = {10.1109/IOLTS.2016.7604705}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SureshMAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TchendjouASL16, author = {Ghislain Takam Tchendjou and Rshdee Alhakim and Emmanuel Simeu and Fritz Lebowsky}, title = {Evaluation of machine learning algorithms for image quality assessment}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {193--194}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604697}, doi = {10.1109/IOLTS.2016.7604697}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TchendjouASL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TheodoropoulosK16, author = {Dimitris Theodoropoulos and Nektarios Kranitis and Antonis M. Paschalis}, title = {An efficient {LDPC} encoder architecture for space applications}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {149--154}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604689}, doi = {10.1109/IOLTS.2016.7604689}, timestamp = {Wed, 08 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TheodoropoulosK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TrillaHAC16, author = {David Trilla and Carles Hern{\'{a}}ndez and Jaume Abella and Francisco J. Cazorla}, title = {Resilient random modulo cache memories for probabilistically-analyzable real-time systems}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {27--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604666}, doi = {10.1109/IOLTS.2016.7604666}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TrillaHAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TscherkaschinHT16, author = {Konstantin Tscherkaschin and Theodor Hillebrand and Maike Taddiken and Steffen Paul and Dagmar Peters{-}Drolshagen}, title = {Temperature- and aging-resistant inverter for robust and reliable time to digital circuit designs in a 65nm bulk {CMOS} process}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {121--125}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604683}, doi = {10.1109/IOLTS.2016.7604683}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TscherkaschinHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VatajeluNP16, author = {Elena{-}Ioana Vatajelu and Giorgio Di Natale and Paolo Prinetto}, title = {STT-MTJ-based {TRNG} with on-the-fly temperature/current variation compensation}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {179--184}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604694}, doi = {10.1109/IOLTS.2016.7604694}, timestamp = {Fri, 08 Nov 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VatajeluNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WunderlichBS16, author = {Hans{-}Joachim Wunderlich and Claus Braun and Alexander Sch{\"{o}}ll}, title = {Pushing the limits: How fault tolerance extends the scope of approximate computing}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {133--136}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604686}, doi = {10.1109/IOLTS.2016.7604686}, timestamp = {Tue, 21 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WunderlichBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YoshidaMIKY16, author = {Shuhei Yoshida and Go Matsukawa and Shintaro Izumi and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {An soft error propagation analysis considering logical masking effect on re-convergent path}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {13--16}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604661}, doi = {10.1109/IOLTS.2016.7604661}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/YoshidaMIKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZambranoK16, author = {Andreina Zambrano and Hans G. Kerkhoff}, title = {Online monitoring of the maximum angle error in {AMR} sensors}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {211--212}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604703}, doi = {10.1109/IOLTS.2016.7604703}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZambranoK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhouBR16, author = {Yumin Zhou and Oliver Bringmann and Wolfgang Rosenstiel}, title = {Flexible in-silicon checking of run-time programmable assertions}, booktitle = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, pages = {78--83}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IOLTS.2016.7604676}, doi = {10.1109/IOLTS.2016.7604676}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZhouBR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2016, title = {22nd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2016, Sant Feliu de Guixols, Spain, July 4-6, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7589476/proceeding}, isbn = {978-1-5090-1507-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbrahamIGAZ15, author = {Jacob A. Abraham and Ravishankar K. Iyer and Dimitris Gizopoulos and Dan Alexandrescu and Yervant Zorian}, title = {The future of fault tolerant computing}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {108--109}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229841}, doi = {10.1109/IOLTS.2015.7229841}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AbrahamIGAZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuECG15, author = {Dan Alexandrescu and Adrian Evans and Enrico Costenaro and Maximilien Glorieux}, title = {A call for cross-layer and cross-domain reliability analysis and management}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {19--22}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229821}, doi = {10.1109/IOLTS.2015.7229821}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuECG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AnamA15, author = {Mohammad Ashraful Anam and Yiannis Andreopoulos}, title = {Failure mitigation in linear, sesquilinear and bijective operations on integer data streams via numerical entanglement}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {122--127}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229844}, doi = {10.1109/IOLTS.2015.7229844}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AnamA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AnaradoA15, author = {Ijeoma Anarado and Yiannis Andreopoulos}, title = {Mitigation of fail-stop failures in integer matrix products via numerical packing}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {101--107}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229840}, doi = {10.1109/IOLTS.2015.7229840}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AnaradoA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AvramenkoEVSTBT15, author = {Serhiy Avramenko and Stefano Esposito and Massimo Violante and Marco Sozzi and Massimo Traversone and Marco Binello and Marco Terrone}, title = {An Hybrid Architecture for consolidating mixed criticality applications on multicore systems}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {26--29}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229823}, doi = {10.1109/IOLTS.2015.7229823}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AvramenkoEVSTBT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BanerjeeMC15, author = {Suvadeep Banerjee and Md Imran Momtaz and Abhijit Chatterjee}, title = {Concurrent error detection in nonlinear digital filters using checksum linearization and residue prediction}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {53--58}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229832}, doi = {10.1109/IOLTS.2015.7229832}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BanerjeeMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BuKW15, author = {Lake Bu and Mark G. Karpovsky and Zhen Wang}, title = {New byte error correcting codes with simple decoding for reliable cache design}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {200--205}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229859}, doi = {10.1109/IOLTS.2015.7229859}, timestamp = {Wed, 25 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BuKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CantoroRRK15, author = {Riccardo Cantoro and Matteo Sonza Reorda and Alireza Rohani and Hans G. Kerkhoff}, title = {On the maximization of the sustained switching activity in a processor}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {34--35}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229826}, doi = {10.1109/IOLTS.2015.7229826}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CantoroRRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChampeixBDRLS15, author = {Clement Champeix and Nicolas Borrel and Jean{-}Max Dutertre and Bruno Robisson and Mathieu Lisart and Alexandre Sarafianos}, title = {Experimental validation of a Bulk Built-In Current Sensor for detecting laser-induced currents}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {150--155}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229849}, doi = {10.1109/IOLTS.2015.7229849}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChampeixBDRLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CharifZN15, author = {Amir Charif and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {{MUGEN:} {A} high-performance fault-tolerant routing algorithm for unreliable Networks-on-Chip}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {71--76}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229835}, doi = {10.1109/IOLTS.2015.7229835}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CharifZN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Eftaxiopoulos-Sarris15, author = {Nikolaos Eftaxiopoulos{-}Sarris and Nicholas Axelos and Kiamal Z. Pekmestzi}, title = {Low leakage radiation tolerant {CAM/TCAM} cell}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {206--211}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229860}, doi = {10.1109/IOLTS.2015.7229860}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Eftaxiopoulos-Sarris15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EspinosaHA15, author = {Jaime Espinosa and Carles Hern{\'{a}}ndez and Jaume Abella}, title = {Characterizing fault propagation in safety-critical processor designs}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {144--149}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229848}, doi = {10.1109/IOLTS.2015.7229848}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EspinosaHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EvansCB15, author = {Adrian Evans and Enrico Costenaro and Arkady Bramnik}, title = {Flip-flop {SEU} reduction through minimization of the temporal vulnerability factor {(TVF)}}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {162--167}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229851}, doi = {10.1109/IOLTS.2015.7229851}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EvansCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GerakisKH15, author = {Vasileios Gerakis and Leonidas Katselas and Alkis A. Hatzopoulos}, title = {Fault modeling and testing of through silicon via interconnections}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {30--31}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229824}, doi = {10.1109/IOLTS.2015.7229824}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GerakisKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HaggagSS15, author = {Amr Haggag and Nik Sumikawa and Aamer Shaukat}, title = {Reliability/yield trade-off in mitigating "no trouble found" field returns}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {174--175}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229854}, doi = {10.1109/IOLTS.2015.7229854}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HaggagSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HamadMS15, author = {Ghaith Bany Hamad and Otmane A{\"{\i}}t Mohamed and Yvon Savaria}, title = {Efficient multilevel formal analysis and estimation of design vulnerability to Single Event Transients}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229818}, doi = {10.1109/IOLTS.2015.7229818}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HamadMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HarutunyanZ15, author = {Gurgen Harutunyan and Yervant Zorian}, title = {An effective embedded test {\&} diagnosis solution for external memories}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {168--170}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229852}, doi = {10.1109/IOLTS.2015.7229852}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HarutunyanZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HsiehP15, author = {Tong{-}Yu Hsieh and Yi{-}Han Peng}, title = {Filtering-based error-tolerability evaluation of image processing circuits}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {132--137}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229846}, doi = {10.1109/IOLTS.2015.7229846}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HsiehP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KatsarouT15, author = {Katerina Katsarou and Yiorgos Tsiatouhas}, title = {Soft error immune latch under {SEU} related double-node charge collection}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {46--49}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229830}, doi = {10.1109/IOLTS.2015.7229830}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KatsarouT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KimiMYIKY15, author = {Yuta Kimi and Go Matsukawa and Shuhei Yoshida and Shintaro Izumi and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {An accurate soft error propagation analysis technique considering temporal masking disablement}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {23--25}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229822}, doi = {10.1109/IOLTS.2015.7229822}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KimiMYIKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KranitisTTSP15, author = {Nektarios Kranitis and Antonis Tsigkanos and George Theodorou and Ioannis Sideris and Antonis M. Paschalis}, title = {A single chip dependable and adaptable payload Data Processing Unit}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {138--143}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229847}, doi = {10.1109/IOLTS.2015.7229847}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KranitisTTSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LacrucheBCRSRDK15, author = {Marc Lacruche and Nicolas Borrel and Clement Champeix and Cyril Roscian and Alexandre Sarafianos and Jean{-}Baptiste Rigaud and Jean{-}Max Dutertre and Edith Kussener}, title = {Laser fault injection into {SRAM} cells: Picosecond versus nanosecond pulses}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {13--18}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229820}, doi = {10.1109/IOLTS.2015.7229820}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LacrucheBCRSRDK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LenoxT15, author = {Joseph Lenox and Spyros Tragoudas}, title = {Towards Trojan circuit detection with maximum state transition exploration}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {50--52}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229831}, doi = {10.1109/IOLTS.2015.7229831}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LenoxT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LiuKW15, author = {Chang Liu and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Efficient observation point selection for aging monitoring}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {176--181}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229855}, doi = {10.1109/IOLTS.2015.7229855}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LiuKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MatrosovaMS15, author = {Anzhela Yu. Matrosova and Eugeniy Mitrofanov and Toral Shah}, title = {Simplification of fully delay testable combinational circuits}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {44--45}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229829}, doi = {10.1109/IOLTS.2015.7229829}, timestamp = {Mon, 25 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MatrosovaMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MazumdarAS15, author = {Bodhisatwa Mazumdar and Sk Subidh Ali and Ozgur Sinanoglu}, title = {Power analysis attacks on {ARX:} An application to Salsa20}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {40--43}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229828}, doi = {10.1109/IOLTS.2015.7229828}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MazumdarAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PanteloukasPND15, author = {Alexandros Panteloukas and Anastasios Psarras and Chrysostomos Nicopoulos and Giorgos Dimitrakopoulos}, title = {Timing-resilient Network-on-Chip architectures}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {77--82}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229836}, doi = {10.1109/IOLTS.2015.7229836}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PanteloukasPND15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PapavramidouN15, author = {Panagiota Papavramidou and Michael Nicolaidis}, title = {Low-power memory repair for high defect densities}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {171--173}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229853}, doi = {10.1109/IOLTS.2015.7229853}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PapavramidouN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PoulosV15, author = {Zissis Poulos and Andreas G. Veneris}, title = {Mining simulation metrics for failure triage in regression testing}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {182--187}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229856}, doi = {10.1109/IOLTS.2015.7229856}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PoulosV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RossiTKA15, author = {Daniele Rossi and Vasileios Tenentes and S. Saqib Khursheed and Bashir M. Al{-}Hashimi}, title = {{BTI} and leakage aware dynamic voltage scaling for reliable low power cache memories}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {194--199}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229858}, doi = {10.1109/IOLTS.2015.7229858}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RossiTKA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SandionigiH15, author = {Chiara Sandionigi and Olivier H{\'{e}}ron}, title = {Identifying aging-aware representative paths in processors}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {32--33}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229825}, doi = {10.1109/IOLTS.2015.7229825}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SandionigiH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SchollBKW15, author = {Alexander Sch{\"{o}}ll and Claus Braun and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Efficient on-line fault-tolerance for the preconditioned conjugate gradient method}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {95--100}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229839}, doi = {10.1109/IOLTS.2015.7229839}, timestamp = {Tue, 21 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SchollBKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SionBS15, author = {Gontran Sion and Yves Blaqui{\`{e}}re and Yvon Savaria}, title = {Defect diagnosis algorithms for a field programmable interconnect network embedded in a Very Large Area Integrated Circuit}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {83--88}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229837}, doi = {10.1109/IOLTS.2015.7229837}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SionBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SkitsasNM15, author = {Michael A. Skitsas and Chrysostomos Nicopoulos and Maria K. Michael}, title = {Toward efficient check-pointing and rollback under on-demand {SBST} in chip multi-processors}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {110--115}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229842}, doi = {10.1109/IOLTS.2015.7229842}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SkitsasNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SouariTBV15, author = {Anis Souari and Claude Thibeault and Yves Blaqui{\`{e}}re and Raoul Velazco}, title = {Optimization of {SEU} emulation on {SRAM} FPGAs based on sensitiveness analysis}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {36--39}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229827}, doi = {10.1109/IOLTS.2015.7229827}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SouariTBV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TahooriCCKVB15, author = {Mehdi Baradaran Tahoori and Abhijit Chatterjee and Krishnendu Chakrabarty and Abhishek Koneru and Arunkumar Vijayan and Debashis Banerjee}, title = {Self-awareness and self-learning for resiliency in real-time systems}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {128--131}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229845}, doi = {10.1109/IOLTS.2015.7229845}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TahooriCCKVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TchakonteST15, author = {Diane Tchuani Tchakonte and Emmanuel Simeu and Maurice Tchuent{\'{e}}}, title = {Adaptive healing procedure for lifetime improvement in Wireless Sensor Networks}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {59--64}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229833}, doi = {10.1109/IOLTS.2015.7229833}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TchakonteST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/UenoHO15, author = {Miho Ueno and Masanori Hashimoto and Takao Onoye}, title = {Real-time on-chip supply voltage sensor and its application to trace-based timing error localization}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {188--193}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229857}, doi = {10.1109/IOLTS.2015.7229857}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/UenoHO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ValleroSTFKPGC15, author = {Alessandro Vallero and Alessandro Savino and Sotiris Tselonis and Nikos Foutris and Manolis Kaliorakis and Gianfranco Politano and Dimitris Gizopoulos and Stefano Di Carlo}, title = {Bayesian network early reliability evaluation analysis for both permanent and transient faults}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {7--12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229819}, doi = {10.1109/IOLTS.2015.7229819}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ValleroSTFKPGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WaliVBGR15, author = {Imran Wali and Arnaud Virazel and Alberto Bosio and Patrick Girard and Matteo Sonza Reorda}, title = {Design space exploration and optimization of a Hybrid Fault-Tolerant Architecture}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {89--94}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229838}, doi = {10.1109/IOLTS.2015.7229838}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WaliVBGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YeH015, author = {Jing Ye and Yu Hu and Xiaowei Li}, title = {{OPUF:} Obfuscation logic based physical unclonable function}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {156--161}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229850}, doi = {10.1109/IOLTS.2015.7229850}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YeH015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZamanAM15, author = {Monir Zaman and Ali Ahmadi and Yiorgos Makris}, title = {Workload characterization and prediction: {A} pathway to reliable multi-core systems}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {116--121}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229843}, doi = {10.1109/IOLTS.2015.7229843}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZamanAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZambranoK15, author = {Andreina Zambrano and Hans G. Kerkhoff}, title = {Fault-tolerant system for catastrophic faults in {AMR} sensors}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {65--70}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229834}, doi = {10.1109/IOLTS.2015.7229834}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZambranoK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2015, title = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7217973/proceeding}, isbn = {978-1-4673-7905-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuBYEC14, author = {Dan Alexandrescu and Nematollah Bidokhti and Andy Yu and Adrian Evans and Enrico Costenaro}, title = {Managing {SER} costs of complex systems through Linear Programming}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {216--219}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873701}, doi = {10.1109/IOLTS.2014.6873701}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuBYEC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlladiT14, author = {Phaninder Alladi and Spyros Tragoudas}, title = {Aging-aware critical paths in deep submicron}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {184--185}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873691}, doi = {10.1109/IOLTS.2014.6873691}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlladiT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlvesKMF14, author = {Tiago A. O. Alves and Sandip Kundu and Leandro A. J. Marzulo and Felipe Maia Galv{\~{a}}o Fran{\c{c}}a}, title = {Online error detection and recovery in dataflow execution}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {9--12}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873679}, doi = {10.1109/IOLTS.2014.6873679}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlvesKMF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AndraudDDABCCKKMPRSSS14, author = {Martin Andraud and Anthony Deluthault and Mouhamadou Dieng and Florence Aza{\"{\i}}s and Serge Bernard and Philippe Cauvet and Mariane Comte and Thibault Kervaon and Vincent Kerzerho and Salvador Mir and Paul{-}Henri Pugliesi{-}Conti and Michel Renovell and Fabien Soulier and Emmanuel Simeu and Haralampos{-}G. D. Stratigopoulos}, title = {Solutions for the self-adaptation of communicating systems in operation}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {234--239}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873705}, doi = {10.1109/IOLTS.2014.6873705}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AndraudDDABCCKKMPRSSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ArumiRF14, author = {Daniel Arum{\'{\i}} and Rosa Rodr{\'{\i}}guez{-}Monta{\~{n}}{\'{e}}s and Joan Figueras}, title = {Pre-bond testing of weak defects in TSVs}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {31--36}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873668}, doi = {10.1109/IOLTS.2014.6873668}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ArumiRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AryanLHYGS14, author = {Nasim Pour Aryan and A. Listl and Leonhard Hei{\ss} and Cenk Yilmaz and Georg Georgakos and Doris Schmitt{-}Landsiedel}, title = {From an analytic {NBTI} device model to reliability assessment of complex digital circuits}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {19--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873666}, doi = {10.1109/IOLTS.2014.6873666}, timestamp = {Fri, 28 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AryanLHYGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AxelosEZTP14, author = {Nicholas Axelos and Nikolaos Eftaxiopoulos{-}Sarris and Georgios Zervakis and Kostas Tsoumanis and Kiamal Z. Pekmestzi}, title = {{FF-DICE:} An 8T soft-error tolerant cell using Independent Dual Gate {SOI} FinFETs}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {200--201}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873696}, doi = {10.1109/IOLTS.2014.6873696}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AxelosEZTP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BadackKG14, author = {Christian Badack and Thomas Kern and Michael G{\"{o}}ssel}, title = {Modified {DEC} {BCH} codes for parallel correction of 3-bit errors comprising a pair of adjacent errors}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {116--121}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873682}, doi = {10.1109/IOLTS.2014.6873682}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BadackKG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarloGPRTV14, author = {Stefano Di Carlo and Giulio Gambardella and Paolo Prinetto and Daniele Rolfo and Pascal Trotta and Alessandro Vallero}, title = {A novel methodology to increase fault tolerance in autonomous FPGA-based systems}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {87--92}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873677}, doi = {10.1109/IOLTS.2014.6873677}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CarloGPRTV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarloVGNGCMPGBRRL14, author = {Stefano Di Carlo and Alessandro Vallero and Dimitris Gizopoulos and Giorgio Di Natale and Antonio Gonz{\'{a}}lez and Ramon Canal and Riccardo Mariani and M. Pipponzi and Arnaud Grasset and Philippe Bonnot and Frank Reichenbach and Gulzaib Rafiq and Trond Loekstad}, title = {Cross-layer early reliability evaluation: Challenges and promises}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {228--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873704}, doi = {10.1109/IOLTS.2014.6873704}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CarloVGNGCMPGBRRL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarvalhoSRSRC14, author = {M. De Carvalho and Davide Sabena and Matteo Sonza Reorda and Luca Sterpone and Paolo Rech and Luigi Carro}, title = {Fault injection in {GPGPU} cores to validate and debug robust parallel applications}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {210--211}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873699}, doi = {10.1109/IOLTS.2014.6873699}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CarvalhoSRSRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CassanoGA14, author = {Luca Cassano and Hip{\'{o}}lito Guzm{\'{a}}n{-}Miranda and Miguel A. Aguirre}, title = {Early assessment of {SEU} sensitivity through untestable fault identification}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {186--189}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873692}, doi = {10.1109/IOLTS.2014.6873692}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CassanoGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DalirsaniKW14, author = {Atefe Dalirsani and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Area-efficient synthesis of fault-secure NoC switches}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {13--18}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873662}, doi = {10.1109/IOLTS.2014.6873662}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DalirsaniKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DesogusSC14, author = {Marco Desogus and Luca Sterpone and David Merodio Codinachs}, title = {Validation of a tool for estimating the effects of soft-errors on modern SRAM-based FPGAs}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {111--115}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873681}, doi = {10.1109/IOLTS.2014.6873681}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DesogusSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DhiaSN14, author = {Arwa Ben Dhia and Mariem Slimani and Lirida A. B. Naviner}, title = {Comparative study of defect-tolerant multiplexers for FPGAs}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {7--12}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873661}, doi = {10.1109/IOLTS.2014.6873661}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DhiaSN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuRSPPLE14, author = {Boyang Du and Matteo Sonza Reorda and Luca Sterpone and Luis Parra and Marta Portela{-}Garc{\'{\i}}a and Almudena Lindoso and Luis Entrena}, title = {A new solution to on-line detection of Control Flow Errors}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {105--110}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873680}, doi = {10.1109/IOLTS.2014.6873680}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DuRSPPLE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DupuisBNFR14, author = {Sophie Dupuis and Papa{-}Sidi Ba and Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {A novel hardware logic encryption technique for thwarting illegal overproduction and Hardware Trojans}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {49--54}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873671}, doi = {10.1109/IOLTS.2014.6873671}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DupuisBNFR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FoutrisKTG14, author = {Nikos Foutris and Manolis Kaliorakis and Sotiris Tselonis and Dimitris Gizopoulos}, title = {Versatile architecture-level fault injection framework for reliability evaluation: {A} first report}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {140--145}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873686}, doi = {10.1109/IOLTS.2014.6873686}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FoutrisKTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FrischkeRS14, author = {Michael Frischke and Andreas J. Rohatschek and Walter Stechele}, title = {Towards low-cost fault detection strategy of {FPGA} configuration memory in real-time systems}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {81--86}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873676}, doi = {10.1109/IOLTS.2014.6873676}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FrischkeRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Gomez-PauBC14, author = {{\'{A}}lvaro G{\'{o}}mez{-}Pau and Suvadeep Banerjee and Abhijit Chatterjee}, title = {Real-time transient error and induced noise cancellation in linear analog filters using learning-assisted adaptive analog checksums}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {25--30}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873667}, doi = {10.1109/IOLTS.2014.6873667}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Gomez-PauBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HobeikaPLTBA14, author = {Christelle Hobeika and Simon Pichette and M. A. Leonard and Claude Thibeault and Jean{-}Fran{\c{c}}ois Boland and Yves Audet}, title = {Multi-abstraction level signature generation and comparison based on radiation single event upset}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {212--215}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873700}, doi = {10.1109/IOLTS.2014.6873700}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HobeikaPLTBA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KatsarouT14, author = {Katerina Katsarou and Yiorgos Tsiatouhas}, title = {Double node charge sharing {SEU} tolerant latch design}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {122--127}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873683}, doi = {10.1109/IOLTS.2014.6873683}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KatsarouT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KrsticWPG14, author = {Milos Krstic and Stefan Weidling and Vladimir Petrovic and Michael G{\"{o}}ssel}, title = {Improved circuitry for soft error correction in combinational logic in pipelined designs}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {93--98}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873678}, doi = {10.1109/IOLTS.2014.6873678}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KrsticWPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KumarJP14, author = {Raghavan Kumar and Philipp Jovanovic and Ilia Polian}, title = {Precise fault-injections using voltage and temperature manipulation for differential cryptanalysis}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {43--48}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873670}, doi = {10.1109/IOLTS.2014.6873670}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KumarJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LuNFR14, author = {Feng Lu and Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {Customized cell detector for laser-induced-fault detection}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {37--42}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873669}, doi = {10.1109/IOLTS.2014.6873669}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LuNFR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MansourAGBV14, author = {Wassim Mansour and Miguel A. Aguirre and Hip{\'{o}}lito Guzm{\'{a}}n{-}Miranda and Javier Barrientos Rojas and Raoul Velazco}, title = {Two complementary approaches for studying the effects of SEUs on HDL-based designs}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {220--221}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873702}, doi = {10.1109/IOLTS.2014.6873702}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MansourAGBV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MartinVLMP14, author = {Honorio Mart{\'{\i}}n and Anna Vaskova and Celia L{\'{o}}pez{-}Ongil and Enrique San Mill{\'{a}}n and Marta Portela{-}Garc{\'{\i}}a}, title = {Effect of ionizing radiation on TRNGs for safe telecommunications: Robustness and randomness}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {202--205}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873697}, doi = {10.1109/IOLTS.2014.6873697}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MartinVLMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MayS14, author = {David May and Walter Stechele}, title = {Improving the significance of probabilistic circuit fault emulations}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {128--133}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873684}, doi = {10.1109/IOLTS.2014.6873684}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MayS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MiuraO14, author = {Yukiya Miura and Yoshihiro Ohkawa}, title = {A noise-tolerant master-slave flip-flop}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {55--61}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873672}, doi = {10.1109/IOLTS.2014.6873672}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MiuraO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MullerKSV14, author = {Sebastian M{\"{u}}ller and Tobias Koal and Mario Sch{\"{o}}lzel and Heinrich Theodor Vierhaus}, title = {Timing for virtual {TMR} in logic circuits}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {190--193}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873693}, doi = {10.1109/IOLTS.2014.6873693}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MullerKSV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NarayananRR14, author = {Prakash Narayanan and Satish Ravichandran and Balaji Ramayanam}, title = {Novel self-test methods to reduce on-chip memory requirements and improved test coverage}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {198--199}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873695}, doi = {10.1109/IOLTS.2014.6873695}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NarayananRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PagliariniNNP14, author = {Samuel N. Pagliarini and Lirida A. B. Naviner and Jean{-}Fran{\c{c}}ois Naviner and Dhiraj K. Pradhan}, title = {A hybrid reliability assessment method and its support of sequential logic modelling}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {182--183}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873690}, doi = {10.1109/IOLTS.2014.6873690}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PagliariniNNP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PagliariniP14, author = {Samuel N. Pagliarini and Dhiraj K. Pradhan}, title = {A placement strategy for reducing the effects of multiple faults in digital circuits}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {69--74}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873674}, doi = {10.1109/IOLTS.2014.6873674}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PagliariniP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PaschalisMKLV14, author = {Antonis M. Paschalis and Harald Michalik and Nektarios Kranitis and Celia L{\'{o}}pez{-}Ongil and Pedro Reviriego Vasallo}, title = {Dependable reconfigurable space systems: Challenges, new trends and case studies}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {222--227}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873703}, doi = {10.1109/IOLTS.2014.6873703}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PaschalisMKLV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PetersenNICZL14, author = {Kim Peters{\'{e}}n and Dimitar Nikolov and Urban Ingelsson and Gunnar Carlsson and Farrokh Ghani Zadegan and Erik Larsson}, title = {Fault injection and fault handling: An MPSoC demonstrator using {IEEE} {P1687}}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {170--175}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873664}, doi = {10.1109/IOLTS.2014.6873664}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PetersenNICZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RehmanBA14, author = {Saif{-}Ur Rehman and Mounir Benabdenbi and Lorena Anghel}, title = {Cost-efficient of a cluster in a mesh SRAM-based {FPGA}}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {75--80}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873675}, doi = {10.1109/IOLTS.2014.6873675}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RehmanBA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Sanchez-ClementeEG14, author = {Antonio Sanchez{-}Clemente and Luis Entrena and Mario Garc{\'{\i}}a{-}Valderas}, title = {Error masking with approximate logic circuits using dynamic probability estimations}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {134--139}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873685}, doi = {10.1109/IOLTS.2014.6873685}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Sanchez-ClementeEG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SarrazinEPNG14, author = {S{\'{e}}bastien Sarrazin and Samuel Evain and Ivan Miro Panades and Lirida Alves de Barros Naviner and Valentin Gherman}, title = {Flip-flop selection for in-situ slack-time monitoring based on the activation probability of timing-critical paths}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {160--163}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873689}, doi = {10.1109/IOLTS.2014.6873689}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SarrazinEPNG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TheodorouKPG14, author = {George Theodorou and Nektarios Kranitis and Antonis M. Paschalis and Dimitris Gizopoulos}, title = {Power-aware optimization of software-based self-test for {L1} caches in microprocessors}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {154--159}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873688}, doi = {10.1109/IOLTS.2014.6873688}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TheodorouKPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TikkanenSWA14, author = {Jeff Tikkanen and Nik Sumikawa and Li{-}C. Wang and Magdy S. Abadir}, title = {Multivariate outlier modeling for capturing customer returns - How simple it can be}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {164--169}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873663}, doi = {10.1109/IOLTS.2014.6873663}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TikkanenSWA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/UpasaniVG14, author = {Gaurang Upasani and Xavier Vera and Antonio Gonz{\'{a}}lez}, title = {Framework for economical error recovery in embedded cores}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {146--153}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873687}, doi = {10.1109/IOLTS.2014.6873687}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/UpasaniVG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VargasRMVZM14, author = {Vanessa Vargas and Pablo Ramos and Wassim Mansour and Raoul Velazco and Nacer{-}Eddine Zergainoh and Jean{-}Fran{\c{c}}ois M{\'{e}}haut}, title = {Preliminary results of {SEU} fault-injection on multicore processors in {AMP} mode}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {194--197}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873694}, doi = {10.1109/IOLTS.2014.6873694}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/VargasRMVZM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VaskovaPGLR14, author = {Anna Vaskova and Marta Portela{-}Garc{\'{\i}}a and Mario Garc{\'{\i}}a{-}Valderas and Celia L{\'{o}}pez{-}Ongil and Matteo Sonza Reorda}, title = {Permanent faults on {LIN} networks: On-line test generation}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {176--181}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873665}, doi = {10.1109/IOLTS.2014.6873665}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/VaskovaPGLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WelterDAP14, author = {Loic Welter and Philippe Dreux and Hassen Aziza and Jean{-}Michel Portal}, title = {An innovative standard cells remapping method for in-circuit critical parameters monitoring}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {206--209}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873698}, doi = {10.1109/IOLTS.2014.6873698}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WelterDAP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/XieCLEAWW14, author = {Hao Xie and Li Chen and Rui Liu and Adrian Evans and Dan Alexandrescu and Shi{-}Jie Wen and Rick Wong}, title = {New approaches for synthesis of redundant combinatorial logic for selective fault tolerance}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {62--68}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873673}, doi = {10.1109/IOLTS.2014.6873673}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/XieCLEAWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YalcinITRCUE14, author = {Gulay Yalcin and Emrah Islek and Oyku Tozlu and Pedro Reviriego and Adri{\'{a}}n Cristal and Osman S. Unsal and Oguz Ergin}, title = {Exploiting a fast and simple {ECC} for scaling supply voltage in level-1 caches}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873660}, doi = {10.1109/IOLTS.2014.6873660}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YalcinITRCUE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2014, title = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6867432/proceeding}, isbn = {978-1-4799-5323-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AkoaSL13, author = {Brice Ekobo Akoa and Emmanuel Simeu and Fritz Lebowsky}, title = {Video decoder monitoring using non-linear regression}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {175--178}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604073}, doi = {10.1109/IOLTS.2013.6604073}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AkoaSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Al-GayemLKR13, author = {Qais Al{-}Gayem and Hong Liu and Haroon Khan and Andrew Richardson}, title = {Scanning the strength of a test signal to monitor electrode degradation within bio-fluidic microsystems}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {133--138}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604064}, doi = {10.1109/IOLTS.2013.6604064}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Al-GayemLKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuCE13, author = {Dan Alexandrescu and Enrico Costenaro and Adrian Evans}, title = {State-aware single event analysis for sequential logic}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {151--156}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604067}, doi = {10.1109/IOLTS.2013.6604067}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuCE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AliSSK13, author = {Sk Subidh Ali and Samah Mohamed Saeed and Ozgur Sinanoglu and Ramesh Karri}, title = {Scan attack in presence of mode-reset countermeasure}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {230--231}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604086}, doi = {10.1109/IOLTS.2013.6604086}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AliSSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AnaradoAAVA13, author = {Ijeoma Anarado and Mohammad Ashraful Anam and Davide Anastasia and Fabio Verdicchio and Yiannis Andreopoulos}, title = {Highly-reliable integer matrix multiplication via numerical packing}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {19--24}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604045}, doi = {10.1109/IOLTS.2013.6604045}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AnaradoAAVA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AndrikosVC13, author = {Nikos Andrikos and Massimo Violante and David Merodio Codinachs}, title = {A fully-automated flow for ITAR-free rad-hard Atmel FPGAs}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {187--192}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604077}, doi = {10.1109/IOLTS.2013.6604077}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AndrikosVC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzambujaBKC13, author = {Jos{\'{e}} Rodrigo Azambuja and Gustavo Brown and Fernanda Lima Kastensmidt and Luigi Carro}, title = {Algorithm transformation methods to reduce software-only fault tolerance techniques' overhead}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604042}, doi = {10.1109/IOLTS.2013.6604042}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AzambujaBKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BallanBYS13, author = {Oscar Ballan and Paolo Bernardi and B. Yazdani and Ernesto S{\'{a}}nchez}, title = {A software-based self-test strategy for on-line testing of the scan chain circuitries in embedded microprocessors}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {79--84}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604055}, doi = {10.1109/IOLTS.2013.6604055}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BallanBYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BanerjeeBCA13, author = {Suvadeep Banerjee and Aritra Banerjee and Abhijit Chatterjee and Jacob A. Abraham}, title = {Real-time checking of linear control systems using analog checksums}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {122--127}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604062}, doi = {10.1109/IOLTS.2013.6604062}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BanerjeeBCA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BizotCZN13, author = {Gilles Bizot and Fabien Chaix and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {Variability-aware and fault-tolerant self-adaptive applications for many-core chips}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {37--42}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604048}, doi = {10.1109/IOLTS.2013.6604048}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BizotCZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BotaTPAS13, author = {Sebasti{\`{a}} A. Bota and Gabriel Torrens and Ivan de Pa{\'{u}}l and Bartomeu Alorda and L. A. Segura}, title = {Accurate alpha soft error rate evaluation in {SRAM} memories}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {205--209}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604080}, doi = {10.1109/IOLTS.2013.6604080}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BotaTPAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BramnikSS13, author = {Arkady Bramnik and Andrei Sherban and Norbert Seifert}, title = {Timing vulnerability factors of sequential elements in modern microprocessors}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {55--60}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604051}, doi = {10.1109/IOLTS.2013.6604051}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BramnikSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarloGIMPRT13, author = {Stefano Di Carlo and Giulio Gambardella and Marco Indaco and Ippazio Martella and Paolo Prinetto and Daniele Rolfo and Pascal Trotta}, title = {Increasing the robustness of {CUDA} Fermi GPU-based systems}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {234--235}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604088}, doi = {10.1109/IOLTS.2013.6604088}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CarloGIMPRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarloGIP13, author = {Stefano Di Carlo and Salvatore Galfano and Marco Indaco and Paolo Prinetto}, title = {Ef\({}^{\mbox{3}}\)S: An evaluation framework for flash-based systems}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {199--204}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604079}, doi = {10.1109/IOLTS.2013.6604079}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CarloGIP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CarvalhoBSRB13, author = {Mauricio de Carvalho and Paolo Bernardi and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda and Oscar Ballan}, title = {Increasing fault coverage during functional test in the operational phase}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {43--48}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604049}, doi = {10.1109/IOLTS.2013.6604049}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CarvalhoBSRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChaeM13, author = {Kwanyeob Chae and Saibal Mukhopadhyay}, title = {Error resilient logic circuits under dynamic variations}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {250}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604094}, doi = {10.1109/IOLTS.2013.6604094}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ChaeM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DaRoltNFR13, author = {Jean DaRolt and Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {A smart test controller for scan chains in secure circuits}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {228--229}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604085}, doi = {10.1109/IOLTS.2013.6604085}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DaRoltNFR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DimopoulosGBAZN13, author = {Michael G. Dimopoulos and Yi Gang and Mounir Benabdenbi and Lorena Anghel and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {7--12}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604043}, doi = {10.1109/IOLTS.2013.6604043}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DimopoulosGBAZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuRSPPLE13, author = {Boyang Du and Matteo Sonza Reorda and Luca Sterpone and Luis Parra and Marta Portela{-}Garc{\'{\i}}a and Almudena Lindoso and Luis Entrena}, title = {Exploiting the debug interface to support on-line test of control flow errors}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {98--103}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604058}, doi = {10.1109/IOLTS.2013.6604058}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DuRSPPLE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Eftaxiopoulos-SarrisZTP13, author = {Nikolaos Eftaxiopoulos{-}Sarris and Georgios Zervakis and Kostas Tsoumanis and Kiamal Z. Pekmestzi}, title = {A radiation tolerant and self-repair memory cell}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {210--215}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604081}, doi = {10.1109/IOLTS.2013.6604081}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Eftaxiopoulos-SarrisZTP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EvansACC13, author = {Adrian Evans and Dan Alexandrescu and Enrico Costenaro and Liang Chen}, title = {Hierarchical RTL-based combinatorial {SER} estimation}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {139--144}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604065}, doi = {10.1109/IOLTS.2013.6604065}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/EvansACC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FoutrisGKS13, author = {Nikos Foutris and Dimitris Gizopoulos and John Kalamatianos and Vilas Sridharan}, title = {Measuring the performance impact of permanent faults in modern microprocessor architectures}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {181--184}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604075}, doi = {10.1109/IOLTS.2013.6604075}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FoutrisGKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HaririMSD13, author = {Alaa Aldin Al Hariri and Fabrice Monteiro and Lo{\"{\i}}c Si{\'{e}}ler and Abbas Dandache}, title = {A high throughput configurable parallel encoder architecture for Quasi-Cyclic Low-Density Parity-Check Codes}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {163--166}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604069}, doi = {10.1109/IOLTS.2013.6604069}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HaririMSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HuangLTC13, author = {Shi{-}Yu Huang and Jeo{-}Yen Lee and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {At-speed {BIST} for interposer wires supporting on-the-spot diagnosis}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {67--72}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604053}, doi = {10.1109/IOLTS.2013.6604053}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HuangLTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JinMM13, author = {Yier Jin and Dzmitry Maliuk and Yiorgos Makris}, title = {A post-deployment {IC} trust evaluation architecture}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {224--225}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604083}, doi = {10.1109/IOLTS.2013.6604083}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JinMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JradL13, author = {Mohamed Ben Jrad and R{\'{e}}gis Leveugle}, title = {Evaluating a low cost robustness improvement in SRAM-based FPGAs}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {173--174}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604072}, doi = {10.1109/IOLTS.2013.6604072}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JradL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KaliorakisFGPP13, author = {Manolis Kaliorakis and Nikos Foutris and Dimitris Gizopoulos and Mihalis Psarakis and Antonis M. Paschalis}, title = {Online error detection in multiprocessor chips: {A} test scheduling study}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {169--172}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604071}, doi = {10.1109/IOLTS.2013.6604071}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KaliorakisFGPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarakonstantisAB13, author = {Georgios Karakonstantis and David Atienza and Andy Burg}, title = {Exploiting application resiliency for energy-efficient and adequately-reliable operation}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {249}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604093}, doi = {10.1109/IOLTS.2013.6604093}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KarakonstantisAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarmarkarT13, author = {Kedar Karmarkar and Spyros Tragoudas}, title = {Error detection encoding for multi-threshold capture mechanism}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {92--97}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604057}, doi = {10.1109/IOLTS.2013.6604057}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KarmarkarT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KatsarouTA13, author = {Katerina Katsarou and Yiorgos Tsiatouhas and Angela Arapoyanni}, title = {{NBTI} aging tolerance in pipeline based designs {NBTI}}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {31--36}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604047}, doi = {10.1109/IOLTS.2013.6604047}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KatsarouTA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KimTO13, author = {SinNyoung Kim and Akira Tsuchiya and Hidetoshi Onodera}, title = {Perturbation-immune radiation-hardened {PLL} with a switchable {DMR} structure}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {128--132}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604063}, doi = {10.1109/IOLTS.2013.6604063}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KimTO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LeSVP13, author = {Bao Le and Dipanjan Sengupta and Andreas G. Veneris and Zissis Poulos}, title = {Accelerating post silicon debug of deep electrical faults}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {61--66}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604052}, doi = {10.1109/IOLTS.2013.6604052}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LeSVP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LuCC13, author = {Shyue{-}Kung Lu and Ming{-}Chang Chen and Yen{-}Chi Chen}, title = {Error-tolerance evaluation and design techniques for motion estimation computing arrays}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {167--168}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604070}, doi = {10.1109/IOLTS.2013.6604070}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ManiatakosMM13, author = {Michail Maniatakos and Maria K. Michael and Yiorgos Makris}, title = {Investigating the limits of {AVF} analysis in the presence of multiple bit errors}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {49--54}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604050}, doi = {10.1109/IOLTS.2013.6604050}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ManiatakosMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Mukhopadhyay13, author = {Debdeep Mukhopadhyay}, title = {On-line testing for differential fault attacks in cryptographic circuits}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {226--227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604084}, doi = {10.1109/IOLTS.2013.6604084}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Mukhopadhyay13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NicolaidisP13, author = {Michael Nicolaidis and Panagiota Papavramidou}, title = {Transparent {BIST} for ECC-based memory repair}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {216--223}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604082}, doi = {10.1109/IOLTS.2013.6604082}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NicolaidisP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OborilST13, author = {Fabian Oboril and Ilias Sagar and Mehdi Baradaran Tahoori}, title = {{A-SOFT-AES:} Self-adaptive software-implemented fault-tolerance for {AES}}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {104--109}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604059}, doi = {10.1109/IOLTS.2013.6604059}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/OborilST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PanagopoulosRB13, author = {Georgios Panagopoulos and Phillipp Riess and Peter Baumgartner}, title = {Challenges of {RF} and mixed signal design under process variability}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {251}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604095}, doi = {10.1109/IOLTS.2013.6604095}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PanagopoulosRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PontesCV13, author = {Julian J. H. Pontes and Ney Calazans and Pascal Vivet}, title = {Parity check for m-of-n delay insensitive codes}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {157--162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604068}, doi = {10.1109/IOLTS.2013.6604068}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PontesCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PoulosYV13, author = {Zissis Poulos and Yu{-}Shen Yang and Andreas G. Veneris}, title = {A failure triage engine based on error trace signature extraction}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {73--78}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604054}, doi = {10.1109/IOLTS.2013.6604054}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PoulosYV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PratesBHDVZ13, author = {W. Prates and Let{\'{\i}}cia Maria Veiras Bolzani and Gurgen Harutyunyan and A. Davtyan and Fabian Vargas and Yervant Zorian}, title = {Integrating embedded test infrastructure in {SRAM} cores to detect aging}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {25--30}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604046}, doi = {10.1109/IOLTS.2013.6604046}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PratesBHDVZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RaghunathanR13, author = {Anand Raghunathan and Kaushik Roy}, title = {Approximate computing: Energy-efficient computing with good-enough results}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {258}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604092}, doi = {10.1109/IOLTS.2013.6604092}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RaghunathanR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RajendranZSK13, author = {Jeyavijayan Rajendran and Huan Zhang and Ozgur Sinanoglu and Ramesh Karri}, title = {High-level synthesis for security and trust}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {232--233}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604087}, doi = {10.1109/IOLTS.2013.6604087}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RajendranZSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RechC13, author = {Paolo Rech and Luigi Carro}, title = {Experimental evaluation of GPUs radiation sensitivity and algorithm-based fault tolerance efficiency}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {244--247}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604091}, doi = {10.1109/IOLTS.2013.6604091}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RechC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SandionigiHBD13, author = {Chiara Sandionigi and Olivier H{\'{e}}ron and Clement Bertolini and Rapha{\"{e}}l David}, title = {When processors get old: Evaluation of {BTI} and {HCI} effects on performance and reliability}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {185--186}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604076}, doi = {10.1109/IOLTS.2013.6604076}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SandionigiHBD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TselonisDG13, author = {Sotiris Tselonis and Vasilis Dimitsas and Dimitris Gizopoulos}, title = {The functional and performance tolerance of GPUs to permanent faults in registers}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {236--239}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604089}, doi = {10.1109/IOLTS.2013.6604089}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TselonisDG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TsiligiannisVDBGPTVWS13, author = {Georgios Tsiligiannis and Elena I. Vatajelu and Luigi Dilillo and Alberto Bosio and Patrick Girard and Serge Pravossoudovitch and Aida Todri and Arnaud Virazel and Frederic Wrobel and Fr{\'{e}}d{\'{e}}ric Saign{\'{e}}}, title = {{SRAM} soft error rate evaluation under atmospheric neutron radiation and {PVT} variations}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {145--150}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604066}, doi = {10.1109/IOLTS.2013.6604066}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TsiligiannisVDBGPTVWS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/UpasaniVG13, author = {Gaurang Upasani and Xavier Vera and Antonio Gonz{\'{a}}lez}, title = {Reducing {DUE-FIT} of caches by exploiting acoustic wave detectors for error recovery}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {85--91}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604056}, doi = {10.1109/IOLTS.2013.6604056}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/UpasaniVG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VaskovaPR13, author = {Anna Vaskova and Marta Portela{-}Garc{\'{\i}}a and Matteo Sonza Reorda}, title = {Hardening of serial communication protocols for potentially critical systems in automotive applications: {LIN} bus}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {13--18}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604044}, doi = {10.1109/IOLTS.2013.6604044}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/VaskovaPR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VoyiatzisES13, author = {Ioannis Voyiatzis and Costas Efstathiou and Cleo Sgouropoulou}, title = {A low-cost input vector monitoring concurrent {BIST} scheme}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {179--180}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604074}, doi = {10.1109/IOLTS.2013.6604074}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VoyiatzisES13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WelterDPA13, author = {Loic Welter and Philippe Dreux and Jean{-}Michel Portal and Hassen Aziza}, title = {Embedded high-precision frequency-based capacitor measurement system}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {116--121}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604061}, doi = {10.1109/IOLTS.2013.6604061}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WelterDPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WunderlichBH13, author = {Hans{-}Joachim Wunderlich and Claus Braun and Sebastian Halder}, title = {Efficacy and efficiency of algorithm-based fault-tolerance on GPUs}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {240--243}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604090}, doi = {10.1109/IOLTS.2013.6604090}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WunderlichBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YangHH0GLL13, author = {Enshan Yang and Keheng Huang and Yu Hu and Xiaowei Li and Jian Gong and Hongjin Liu and Bo Liu}, title = {{HHC:} Hierarchical hardware checkpointing to accelerate fault recovery for SRAM-based FPGAs}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {193--198}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604078}, doi = {10.1109/IOLTS.2013.6604078}, timestamp = {Fri, 25 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/YangHH0GLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZussaDCT13, author = {Lo{\"{\i}}c Zussa and Jean{-}Max Dutertre and Jessy Cl{\'{e}}di{\`{e}}re and Assia Tria}, title = {Power supply glitch induced faults on {FPGA:} An in-depth analysis of the injection mechanism}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {110--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604060}, doi = {10.1109/IOLTS.2013.6604060}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZussaDCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2013, title = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6589052/proceeding}, isbn = {978-1-4799-0662-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbdelfattahBBIKZHW12, author = {Mohamed Abdelfattah and Lars Bauer and Claus Braun and Michael E. Imhof and Michael A. Kochte and Hongyan Zhang and J{\"{o}}rg Henkel and Hans{-}Joachim Wunderlich}, title = {Transparent structural online test for reconfigurable systems}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313838}, doi = {10.1109/IOLTS.2012.6313838}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbdelfattahBBIKZHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuC12, author = {Dan Alexandrescu and Enrico Costenaro}, title = {Towards optimized functional evaluation of SEE-induced failures in complex designs}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {182--187}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313869}, doi = {10.1109/IOLTS.2012.6313869}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AltetMG12, author = {Josep Altet and Diego Mateo and Didac G{\'{o}}mez}, title = {On line monitoring of {RF} power amplifiers with embedded temperature sensors}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {109--113}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313850}, doi = {10.1109/IOLTS.2012.6313850}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AltetMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AmatACCCJMRZ12, author = {Esteve Amat and A. Asenov and Ramon Canal and Binjie Cheng and J.{-}Ll. Cruz and Zoran Jaksic and Miguel Miranda and Antonio Rubio and Paul Zuber}, title = {Analysis of FinFET technology on memories}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {169}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313866}, doi = {10.1109/IOLTS.2012.6313866}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AmatACCCJMRZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Baklashov12, author = {Mikhail Baklashov}, title = {Event-driven on-line co-simulation with fault diagnostic}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {123--126}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313854}, doi = {10.1109/IOLTS.2012.6313854}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Baklashov12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BernardeschiCD12, author = {Cinzia Bernardeschi and Luca Cassano and Andrea Domenici}, title = {{SEU-X:} {A} SEu un-excitability prover for SRAM-FPGAs}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313836}, doi = {10.1109/IOLTS.2012.6313836}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BernardeschiCD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BertoliniHVM12, author = {Clement Bertolini and Olivier H{\'{e}}ron and Nicolas Ventroux and Fran{\c{c}}ois Marc}, title = {Relation between HCI-induced performance degradation and applications in a {RISC} processor}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313843}, doi = {10.1109/IOLTS.2012.6313843}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BertoliniHVM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BohlI12, author = {Eberhard B{\"{o}}hl and Markus Ihle}, title = {A fault attack robust {TRNG}}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {114--117}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313851}, doi = {10.1109/IOLTS.2012.6313851}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BohlI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BurgK12, author = {Ariel Burg and Osnat Keren}, title = {Functional level embedded self testing for Walsh transform based adaptive hardware}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {134--135}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313857}, doi = {10.1109/IOLTS.2012.6313857}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BurgK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChapmanKK12, author = {Glenn H. Chapman and Israel Koren and Zahava Koren}, title = {Do more camera pixels result in a better picture?}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313844}, doi = {10.1109/IOLTS.2012.6313844}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChapmanKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChaudhariA12, author = {Ameya Chaudhari and Jacob A. Abraham}, title = {Stream cipher hash based execution monitoring {(SCHEM)} framework for intrusion detection on embedded processors}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313864}, doi = {10.1109/IOLTS.2012.6313864}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChaudhariA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChenT12, author = {Liang Chen and Mehdi Baradaran Tahoori}, title = {An efficient probability framework for error propagation and correlation estimation}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {170--175}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313867}, doi = {10.1109/IOLTS.2012.6313867}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChenT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DeyatiBC12, author = {Sabyasachi Deyati and Aritra Banerjee and Abhijit Chatterjee}, title = {Pilot symbol driven monitoring of electrical degradation in {RF} transmitter systems using model anomaly diagnosis}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {142--145}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313860}, doi = {10.1109/IOLTS.2012.6313860}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DeyatiBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DhiaNM12, author = {Arwa Ben Dhia and Lirida A. B. Naviner and Philippe Matherat}, title = {Analyzing and alleviating the impact of errors on an SRAM-based {FPGA} cluster}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313837}, doi = {10.1109/IOLTS.2012.6313837}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DhiaNM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EvansNWAC12, author = {Adrian Evans and Michael Nicolaidis and Shi{-}Jie Wen and Dan Alexandrescu and Enrico Costenaro}, title = {{RIIF} - Reliability information interchange format}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313849}, doi = {10.1109/IOLTS.2012.6313849}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/EvansNWAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FernandesMFG12, author = {Francisco R. Fernandes and Ricardo J. Machado and Jos{\'{e}} M. Ferreira and Manuel G. Gericota}, title = {Gatewaying {IEEE} 1149.1 and {IEEE} 1149.7 test access ports}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {136--137}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313858}, doi = {10.1109/IOLTS.2012.6313858}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FernandesMFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GaoYCZW12, author = {Zhen Gao and Wenhui Yang and Xiang Chen and Ming Zhao and Jing Wang}, title = {Fault missing rate analysis of the arithmetic residue codes based fault-tolerant {FIR} filter design}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {130--133}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313856}, doi = {10.1109/IOLTS.2012.6313856}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GaoYCZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/IbeTST12, author = {Eishi Ibe and Tadanobu Toba and Ken{-}ichi Shimbo and Hitoshi Taniguchi}, title = {Fault-based reliable design-on-upper-bound of electronic systems for terrestrial radiation including muons, electrons, protons and low energy neutrons}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313840}, doi = {10.1109/IOLTS.2012.6313840}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/IbeTST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JulaiYB12, author = {Norhuzaimin Julai and Alexandre Yakovlev and Alexandre V. Bystrov}, title = {Error detection and correction of single event upset {(SEU)} tolerant latch}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313832}, doi = {10.1109/IOLTS.2012.6313832}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/JulaiYB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Kumar12, author = {Rakesh Kumar}, title = {Algorithmic techniques for robust applications}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {168}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313865}, doi = {10.1109/IOLTS.2012.6313865}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Kumar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LinZ12, author = {Yang Lin and Mark Zwolinski}, title = {{SETTOFF:} {A} fault tolerant flip-flop for building Cost-efficient Reliable Systems}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313833}, doi = {10.1109/IOLTS.2012.6313833}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LinZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Lopez-OngilPGVERMMRA12, author = {Celia L{\'{o}}pez{-}Ongil and Marta Portela{-}Garc{\'{\i}}a and Mario Garc{\'{\i}}a{-}Valderas and Anna Vaskova and Luis Entrena and Joaqu{\'{\i}}n Rivas{-}Abalo and Alberto Mart{\'{\i}}n{-}Ortega and Javier Martinez{-}Oter and S. Rodriguez{-}Bustabad and Ignacio Arruego}, title = {{SEU} sensitivity of robust communication protocols}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {188--193}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313870}, doi = {10.1109/IOLTS.2012.6313870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Lopez-OngilPGVERMMRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LotfiBS12, author = {Atieh Lotfi and Arash Bayat and Saeed Safari}, title = {Architectural vulnerability aware checkpoint placement in a multicore processor}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {118--120}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313852}, doi = {10.1109/IOLTS.2012.6313852}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LotfiBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NeumeierK12, author = {Yaara Neumeier and Osnat Keren}, title = {Punctured Karpovsky-Taubin binary robust error detecting codes for cryptographic devices}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {156--161}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313863}, doi = {10.1109/IOLTS.2012.6313863}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NeumeierK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NicolaidisPA12, author = {Michael Nicolaidis and Vladimir Pasca and Lorena Anghel}, title = {Through-silicon-via built-in self-repair for aggressive 3D integration}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {91--96}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313847}, doi = {10.1109/IOLTS.2012.6313847}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NicolaidisPA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PachitoMSSTT12, author = {Jackson Pachito and Celestino V. Martins and Jorge Semi{\~{a}}o and Marcelino Bicho Dos Santos and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {The influence of clock-gating on NBTI-induced delay degradation}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313842}, doi = {10.1109/IOLTS.2012.6313842}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PachitoMSSTT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PandeyB12, author = {Sujan Pandey and Klaas Brink}, title = {Soft-errors resilient logic optimization for low power}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313835}, doi = {10.1109/IOLTS.2012.6313835}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PandeyB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RechAFFC12, author = {Paolo Rech and Caroline Aguiar and Ronaldo Rodrigues Ferreira and Christopher Frost and Luigi Carro}, title = {Neutron radiation test of graphic processing units}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313841}, doi = {10.1109/IOLTS.2012.6313841}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RechAFFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ReviriegoSM12, author = {Pedro Reviriego and Alfonso S{\'{a}}nchez{-}Maci{\'{a}}n and Juan Antonio Maestro}, title = {Low Power embedded {DRAM} caches using {BCH} code partitioning}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {79--83}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313845}, doi = {10.1109/IOLTS.2012.6313845}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ReviriegoSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RigaSR12, author = {Michele Riga and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda}, title = {On the functional test of {L2} caches}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {84--90}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313846}, doi = {10.1109/IOLTS.2012.6313846}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RigaSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Sanchez-ClementeEGL12, author = {Antonio Sanchez{-}Clemente and Luis Entrena and Mario Garc{\'{\i}}a{-}Valderas and Celia L{\'{o}}pez{-}Ongil}, title = {Logic masking for {SET} Mitigation Using Approximate Logic Circuits}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {176--181}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313868}, doi = {10.1109/IOLTS.2012.6313868}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Sanchez-ClementeEGL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShayanSSF12, author = {Mohammed Shayan and Virendra Singh and Adit D. Singh and Masahiro Fujita}, title = {{SEU} tolerant robust memory cell design}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313834}, doi = {10.1109/IOLTS.2012.6313834}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ShayanSSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Sinanoglu12, author = {Ozgur Sinanoglu}, title = {Test access mechanism for chips with spare identical cores}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313848}, doi = {10.1109/IOLTS.2012.6313848}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Sinanoglu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TomashevichSFP12, author = {Victor Tomashevich and Sudarshan Srinivasan and Fabian Foerg and Ilia Polian}, title = {Cross-level protection of circuits against faults and malicious attacks}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {150--155}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313862}, doi = {10.1109/IOLTS.2012.6313862}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TomashevichSFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TsiligiannisDBGTVTWS12, author = {Georgios Tsiligiannis and Luigi Dilillo and Alberto Bosio and Patrick Girard and Aida Todri and Arnaud Virazel and Antoine D. Touboul and Frederic Wrobel and Fr{\'{e}}d{\'{e}}ric Saign{\'{e}}}, title = {Evaluation of test algorithms stress effect on SRAMs under neutron radiation}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {121--122}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313853}, doi = {10.1109/IOLTS.2012.6313853}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/TsiligiannisDBGTVTWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VilchisVCA12, author = {Miguel Vilchis and Ramnath Venkatraman and Enrico Costenaro and Dan Alexandrescu}, title = {A real-case application of a synergetic design-flow-oriented {SER} analysis}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313839}, doi = {10.1109/IOLTS.2012.6313839}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VilchisVCA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangK12, author = {Zhen Wang and Mark G. Karpovsky}, title = {Reliable and secure memories based on algebraic manipulation correction codes}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {146--149}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313861}, doi = {10.1109/IOLTS.2012.6313861}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WangK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WolfFU12, author = {Julian Wolf and Bernhard Fechner and Theo Ungerer}, title = {Fault coverage of a timing and control flow checker for hard real-time systems}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {127--129}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313855}, doi = {10.1109/IOLTS.2012.6313855}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/WolfFU12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YoshimotoAYMYIKY12, author = {Shusuke Yoshimoto and Takuro Amashita and Masayoshi Yoshimura and Yusuke Matsunaga and Hiroto Yasuura and Shintaro Izumi and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Neutron-induced soft error rate estimation for {SRAM} using {PHITS}}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {138--141}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313859}, doi = {10.1109/IOLTS.2012.6313859}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/YoshimotoAYMYIKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2012, title = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6304844/proceeding}, isbn = {978-1-4673-2082-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbellaCQGYBGMB11, author = {Jaume Abella and Francisco J. Cazorla and Eduardo Qui{\~{n}}ones and Arnaud Grasset and Sami Yehia and Philippe Bonnot and Dimitris Gizopoulos and Riccardo Mariani and Guillem Bernat}, title = {Towards improved survivability in safety-critical systems}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {240--245}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994536}, doi = {10.1109/IOLTS.2011.5994536}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbellaCQGYBGMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbellaQCVS11, author = {Jaume Abella and Eduardo Qui{\~{n}}ones and Francisco J. Cazorla and Mateo Valero and Yanos Sazeides}, title = {RVC-based time-predictable faulty caches for safety-critical systems}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993806}, doi = {10.1109/IOLTS.2011.5993806}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbellaQCVS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Alexandrescu11, author = {Dan Alexandrescu}, title = {A comprehensive soft error analysis methodology for SoCs/ASICs memory instances}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {175--176}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993833}, doi = {10.1109/IOLTS.2011.5993833}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Alexandrescu11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlhakimSR11, author = {Rshdee Alhakim and Emmanuel Simeu and Kosai Raoof}, title = {Internal model control for a self-tuning Delay-Locked Loop in {UWB} communication systems}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993822}, doi = {10.1109/IOLTS.2011.5993822}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlhakimSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AugustinGK11, author = {Michael Augustin and Michael G{\"{o}}ssel and Rolf Kraemer}, title = {Selective fault tolerance for finite state machines}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993809}, doi = {10.1109/IOLTS.2011.5993809}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AugustinGK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AymerichABCCFGHMMPRRVVWZ11, author = {Nivard Aymerich and A. Asenov and Andrew R. Brown and Ramon Canal and Binjie Cheng and Joan Figueras and Antonio Gonz{\'{a}}lez and Enric Herrero and S. Markov and Miguel Miranda and Peyman Pouyan and Tanaus{\'{u}} Ram{\'{\i}}rez and Antonio Rubio and Elena I. Vatajelu and Xavier Vera and Xingsheng Wang and Paul Zuber}, title = {New reliability mechanisms in memory design for sub-22nm technologies}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {111--114}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993820}, doi = {10.1109/IOLTS.2011.5993820}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AymerichABCCFGHMMPRRVVWZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Baklashov11, author = {Mikhail Baklashov}, title = {An on-line memory state validation using shadow memory cloning}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {186--189}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993837}, doi = {10.1109/IOLTS.2011.5993837}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Baklashov11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BaranowskiW11, author = {Rafal Baranowski and Hans{-}Joachim Wunderlich}, title = {Fail-safety in core-based system design}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {276--281}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994542}, doi = {10.1109/IOLTS.2011.5994542}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BaranowskiW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BernardiCSR11, author = {Paolo Bernardi and Lyl M. Ciganda and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda}, title = {An effective methodology for on-line testing of embedded microprocessors}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {270--275}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994541}, doi = {10.1109/IOLTS.2011.5994541}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BernardiCSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BohlD11, author = {Eberhard B{\"{o}}hl and Paul Duplys}, title = {Fault attack resistant deterministic random bit generator usable for key randomization}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {194--195}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993840}, doi = {10.1109/IOLTS.2011.5993840}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BohlD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BolchiniSFC11, author = {Cristiana Bolchini and Chiara Sandionigi and Luca Fossati and David Merodio Codinachs}, title = {A reliable fault classifier for dependable systems on SRAM-based FPGAs}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993817}, doi = {10.1109/IOLTS.2011.5993817}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BolchiniSFC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BoschiML11, author = {Gabriele Boschi and Riccardo Mariani and Stefano Lorenzini}, title = {A verification strategy for fault-detection and fault-tolerance circuits}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {177--178}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993834}, doi = {10.1109/IOLTS.2011.5993834}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BoschiML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChaixBNZ11, author = {Fabien Chaix and Gilles Bizot and Michael Nicolaidis and Nacer{-}Eddine Zergainoh}, title = {Variability-aware task mapping strategies for many-cores processor chips}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993811}, doi = {10.1109/IOLTS.2011.5993811}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChaixBNZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CostenaroVA11, author = {Enrico Costenaro and Massimo Violante and Dan Alexandrescu}, title = {A new {IP} core for fast error detection and fault tolerance in COTS-based solid state mass memories}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993810}, doi = {10.1109/IOLTS.2011.5993810}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CostenaroVA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EstevesMAS11, author = {Jorge O. M. Esteves and Tiago H. Moita and Carlos B. Almeida and Marcelino B. Santos}, title = {{ICT:} Interface software for the characterization and test of mixed-signal power cores}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {202--205}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993843}, doi = {10.1109/IOLTS.2011.5993843}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/EstevesMAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FerreiraMC11, author = {Ronaldo Rodrigues Ferreira and {\'{A}}lvaro Freitas Moreira and Luigi Carro}, title = {Matrix control-flow algorithm-based fault tolerance}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993808}, doi = {10.1109/IOLTS.2011.5993808}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FerreiraMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FlaquerDNR11, author = {Josep Torras Flaquer and Jean{-}Marc Daveau and Lirida A. B. Naviner and Philippe Roche}, title = {An approach to reduce computational cost in combinatorial logic netlist reliability analysis using circuit clustering and conditional probabilities}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {98--103}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993818}, doi = {10.1109/IOLTS.2011.5993818}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FlaquerDNR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FradiNA11, author = {Aymen Fradi and Michael Nicolaidis and Lorena Anghel}, title = {Memory {BIST} with address programmability}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {79--85}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993815}, doi = {10.1109/IOLTS.2011.5993815}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FradiNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhalatyFRM11, author = {Nahid Farhady Ghalaty and Mahdi Fazeli and Hossein Izadi Rad and Seyed Ghassem Miremadi}, title = {Software-based control flow error detection and correction using branch triplication}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {214--217}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993847}, doi = {10.1109/IOLTS.2011.5993847}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GhalatyFRM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhermanESB11, author = {Valentin Gherman and Samuel Evain and Nathaniel Seymour and Yannick Bonhomme}, title = {Generalized parity-check matrices for {SEC-DED} codes with fixed parity}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {198--201}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993842}, doi = {10.1109/IOLTS.2011.5993842}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GhermanESB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrigoryanHSVZ11, author = {Hayk T. Grigoryan and Gurgen Harutunyan and Samvel K. Shoukourian and Valery A. Vardanian and Yervant Zorian}, title = {Generic {BIST} architecture for testing of content addressable memories}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {86--91}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993816}, doi = {10.1109/IOLTS.2011.5993816}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GrigoryanHSVZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ImhofW11, author = {Michael E. Imhof and Hans{-}Joachim Wunderlich}, title = {Soft error correction in embedded storage elements}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {169--174}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993832}, doi = {10.1109/IOLTS.2011.5993832}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ImhofW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/InoueHYI11, author = {Tomoo Inoue and Hayato Henmi and Yuki Yoshikawa and Hideyuki Ichihara}, title = {High-level synthesis for multi-cycle transient fault tolerant datapaths}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993804}, doi = {10.1109/IOLTS.2011.5993804}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/InoueHYI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarmarkarT11, author = {Kedar Karmarkar and Spyros Tragoudas}, title = {Error correction encoding for multi-threshold capture mechanism}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993830}, doi = {10.1109/IOLTS.2011.5993830}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KarmarkarT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KerenLS11, author = {Osnat Keren and Ilya Levin and Vladimir Sinelnikov}, title = {Detection of Trojan {HW} by using hidden information on the system}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {192--193}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993839}, doi = {10.1109/IOLTS.2011.5993839}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KerenLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhanH11, author = {Seyab Khan and Said Hamdioui}, title = {Modeling and mitigating {NBTI} in nanoscale circuits}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993802}, doi = {10.1109/IOLTS.2011.5993802}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KhanH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhoshaviZM11, author = {Navid Khoshavi and Hamid R. Zarandi and Mohammad Maghsoudloo}, title = {Control-flow error recovery using commodity multi-core architecture features}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {190--191}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993838}, doi = {10.1109/IOLTS.2011.5993838}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KhoshaviZM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KillianTMD11, author = {C{\'{e}}dric Killian and Camel Tanougast and Fabrice Monteiro and Abbas Dandache}, title = {Loopback output router for reliable Network on Chip}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {206--207}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993844}, doi = {10.1109/IOLTS.2011.5993844}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KillianTMD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KriegGSWH11, author = {Armin Krieg and Johannes Grinschgl and Christian Steger and Reinhold Weiss and Josef Haid}, title = {A side channel attack countermeasure using system-on-chip power profile scrambling}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {222--227}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993849}, doi = {10.1109/IOLTS.2011.5993849}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KriegGSWH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LinderEOH11, author = {Michael Linder and Alfred Eder and Klaus Oberl{\"{a}}nder and Martin Huch}, title = {Variations of fault manifestation during Burn-In - {A} case study on industrial {SRAM} test results}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {218--221}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993848}, doi = {10.1109/IOLTS.2011.5993848}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LinderEOH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MadalinMF11, author = {Madalin Neagu and Liviu Miclea and Joan Figueras}, title = {Unidirectional error detection, localization and correction for DRAMs: Application to on-line {DRAM} repair strategies}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {264--269}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994540}, doi = {10.1109/IOLTS.2011.5994540}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MadalinMF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Maistri11, author = {Paolo Maistri}, title = {Countermeasures against fault attacks: The good, the bad, and the ugly}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {134--137}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993825}, doi = {10.1109/IOLTS.2011.5993825}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Maistri11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MartinMECL11, author = {Honorio Mart{\'{\i}}n and Enrique San Mill{\'{a}}n and Luis Entrena and Julio C{\'{e}}sar Hern{\'{a}}ndez Castro and Pedro Peris{-}Lopez}, title = {{AKARI-X:} {A} pseudorandom number generator for secure lightweight systems}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {228--233}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994534}, doi = {10.1109/IOLTS.2011.5994534}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MartinMECL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NatarajanSC11, author = {Jayaram Natarajan and Shreyas Sen and Abhijit Chatterjee}, title = {Real time cross-layer adaptation for minimum energy wireless image transport using bit error rate control}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993823}, doi = {10.1109/IOLTS.2011.5993823}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NatarajanSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PaolieriM11, author = {Marco Paolieri and Riccardo Mariani}, title = {Towards functional-safe timing-dependable real-time architectures}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993807}, doi = {10.1109/IOLTS.2011.5993807}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PaolieriM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PierceT11, author = {Luke Pierce and Spyros Tragoudas}, title = {Multi-level secure {JTAG} architecture}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {208--209}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993845}, doi = {10.1109/IOLTS.2011.5993845}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PierceT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PrasanthSP11, author = {V. Prasanth and Virendra Singh and Rubin A. Parekhji}, title = {Reduced overhead soft error mitigation using error control coding techniques}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993831}, doi = {10.1109/IOLTS.2011.5993831}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PrasanthSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RajendranSWP11, author = {Aravind Rajendran and Yuriy Shiyanovskii and Frank Wolff and Christos A. Papachristou}, title = {Noise margin, critical charge and power-delay tradeoffs for {SRAM} design}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993828}, doi = {10.1109/IOLTS.2011.5993828}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RajendranSWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RibasSRI11, author = {Renato P. Ribas and Yuyang Sun and Andr{\'{e}} In{\'{a}}cio Reis and Andr{\'{e}} Ivanov}, title = {Self-checking test circuits for latches and flip-flops}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {210--213}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993846}, doi = {10.1109/IOLTS.2011.5993846}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RibasSRI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RodriguesK11, author = {Rance Rodrigues and Sandip Kundu}, title = {On graceful degradation of microprocessors in presence of faults via resource banking}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993812}, doi = {10.1109/IOLTS.2011.5993812}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RodriguesK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RodriguesK11a, author = {Rance Rodrigues and Sandip Kundu}, title = {On graceful degradation of chip multiprocessors in presence of faults via flexible pooling of critical execution units}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993813}, doi = {10.1109/IOLTS.2011.5993813}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RodriguesK11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SalamatZ11, author = {Ronak Salamat and Hamid R. Zarandi}, title = {Fault-tolerance assessment and enhancement in SoCWire interface: {A} system-on-chip wire}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {196--197}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993841}, doi = {10.1109/IOLTS.2011.5993841}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SalamatZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SanchezSAG11, author = {Daniel S{\'{a}}nchez and Yiannakis Sazeides and Juan L. Arag{\'{o}}n and Jos{\'{e}} M. Garc{\'{\i}}a}, title = {An analytical model for the calculation of the Expected Miss Ratio in faulty caches}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {252--257}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994538}, doi = {10.1109/IOLTS.2011.5994538}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SanchezSAG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SauerCPB11, author = {Matthias Sauer and Alejandro Czutro and Ilia Polian and Bernd Becker}, title = {Estimation of component criticality in early design steps}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {104--110}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993819}, doi = {10.1109/IOLTS.2011.5993819}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SauerCPB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SauerTMLSPBB11, author = {Matthias Sauer and Victor Tomashevich and J{\"{o}}rg M{\"{u}}ller and Matthew Lewis and Andreas Spilla and Ilia Polian and Bernd Becker and Wolfram Burgard}, title = {An FPGA-based framework for run-time injection and analysis of soft errors in microprocessors}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {182--185}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993836}, doi = {10.1109/IOLTS.2011.5993836}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SauerTMLSPBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShiyanovskiiRP11, author = {Yuriy Shiyanovskii and Aravind Rajendran and Christos A. Papachristou}, title = {A novel radiation tolerant {SRAM} design based on synergetic functional component separation for nanoscale {CMOS}}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993827}, doi = {10.1109/IOLTS.2011.5993827}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ShiyanovskiiRP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SilvaBV11, author = {Dhiego Silva and Let{\'{\i}}cia Maria Veiras Bolzani and Fabian Vargas}, title = {An intellectual property core to detect task schedulling-related faults in RTOS-based embedded systems}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993805}, doi = {10.1109/IOLTS.2011.5993805}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SilvaBV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Sunar11, author = {Berk Sunar}, title = {Rise of the hardware Trojans}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {138}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993826}, doi = {10.1109/IOLTS.2011.5993826}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Sunar11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TakataM11, author = {Taiga Takata and Yusuke Matsunaga}, title = {A robust algorithm for pessimistic analysis of logic masking effects in combinational circuits}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {246--251}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994537}, doi = {10.1109/IOLTS.2011.5994537}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TakataM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/UemuraKMTFH11, author = {Taiki Uemura and Takashi Kato and Hideya Matsuyama and Keiji Takahisa and Mitsuhiro Fukuda and Kichiji Hatanaka}, title = {Investigation of multi cell upset in sequential logic and validity of redundancy technique}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993803}, doi = {10.1109/IOLTS.2011.5993803}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/UemuraKMTFH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VaskovaLGPE11, author = {Anna Vaskova and Celia L{\'{o}}pez{-}Ongil and Mario Garc{\'{\i}}a{-}Valderas and Marta Portela{-}Garc{\'{\i}}a and Luis Entrena}, title = {Evaluation techniques for on-line testing of robust systems based on critical tasks distribution}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {258--263}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994539}, doi = {10.1109/IOLTS.2011.5994539}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VaskovaLGPE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VaskovaLMJE11, author = {Anna Vaskova and Celia L{\'{o}}pez{-}Ongil and Enrique San Mill{\'{a}}n and Alejandro Jim{\'{e}}nez{-}Horas and Luis Entrena}, title = {Accelerating secure circuit design with hardware implementation of Diehard Battery of tests of randomness}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {179--181}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993835}, doi = {10.1109/IOLTS.2011.5993835}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VaskovaLMJE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Verbauwhede11, author = {Ingrid Verbauwhede}, title = {The cost of cryptography: Is low budget possible?}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {133}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993824}, doi = {10.1109/IOLTS.2011.5993824}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Verbauwhede11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangK11, author = {Zhen Wang and Mark G. Karpovsky}, title = {Algebraic manipulation detection codes and their applications for design of secure cryptographic devices}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {234--239}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994535}, doi = {10.1109/IOLTS.2011.5994535}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WangK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YoshimotoAKTYMYKY11, author = {Shusuke Yoshimoto and Takuro Amashita and D. Kozuwa and Taiga Takata and Masayoshi Yoshimura and Yusuke Matsunaga and Hiroto Yasuura and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Multiple-bit-upset and single-bit-upset resilient 8T {SRAM} bitcell layout with divided wordline structure}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993829}, doi = {10.1109/IOLTS.2011.5993829}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/YoshimotoAKTYMYKY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZaourarKW11, author = {Lilia Zaourar and Yann Kieffer and Arnaud Wenzel}, title = {A multi-objective optimization for memory {BIST} sharing using a genetic algorithm}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993814}, doi = {10.1109/IOLTS.2011.5993814}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZaourarKW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhangKTC11, author = {Zhaobo Zhang and Xrysovalantis Kavousianos and Yiorgos Tsiatouhas and Krishnendu Chakrabarty}, title = {A {BIST} scheme for testing and repair of multi-mode power switches}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993821}, doi = {10.1109/IOLTS.2011.5993821}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ZhangKTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2011, title = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5979170/proceeding}, isbn = {978-1-4577-1053-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AgoyanDMNRT10, author = {Michel Agoyan and Jean{-}Max Dutertre and Amir{-}Pasha Mirbaha and David Naccache and Anne{-}Lise Ribotta and Assia Tria}, title = {How to flip a bit?}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {235--239}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560194}, doi = {10.1109/IOLTS.2010.5560194}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AgoyanDMNRT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlmukhaizimBS10, author = {Sobeeh Almukhaizim and Sara Bunian and Ozgur Sinanoglu}, title = {Reconfigurable low-power Concurrent Error Detection in logic circuits}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {206--207}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560202}, doi = {10.1109/IOLTS.2010.5560202}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlmukhaizimBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AltetMA10, author = {Josep Altet and Diego Mateo and Eduardo Aldrete{-}Vidrio}, title = {Thermal coupling in ICs: Applications to the test and characterization of analogue and {RF} circuits}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {135}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560220}, doi = {10.1109/IOLTS.2010.5560220}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AltetMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AugustinGK10, author = {Michael Augustin and Michael G{\"{o}}ssel and Rolf Kraemer}, title = {Reducing the area overhead of TMR-systems by protecting specific signals}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {268--273}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560191}, doi = {10.1109/IOLTS.2010.5560191}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AugustinGK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AxelosP10, author = {Nicholas Axelos and Kiamal Z. Pekmestzi}, title = {A bit level area aware cache-based architecture for memory repairs}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {154--158}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560217}, doi = {10.1109/IOLTS.2010.5560217}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AxelosP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BalenL10, author = {Tiago R. Balen and Marcelo Lubaszewski}, title = {Radiation effects on programmable analog devices and mitigation techniques}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {136}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560221}, doi = {10.1109/IOLTS.2010.5560221}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BalenL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BastosSKRR10, author = {Rodrigo Possamai Bastos and Gilles Sicard and Fernanda Lima Kastensmidt and Marc Renaudin and Ricardo Reis}, title = {Evaluating transient-fault effects on traditional C-element's implementations}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560237}, doi = {10.1109/IOLTS.2010.5560237}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BastosSKRR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BattezzatiSV10, author = {Niccol{\`{o}} Battezzati and Davide Serrone and Massimo Violante}, title = {A new framework for the automatic insertion of mitigation structures in circuits netlists}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {190--191}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560211}, doi = {10.1109/IOLTS.2010.5560211}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BattezzatiSV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BotaTAVS10, author = {Sebasti{\`{a}} A. Bota and Gabriel Torrens and Bartomeu Alorda and Jaume Verd and Jaume Segura}, title = {Cross-BIC architecture for single and multiple {SEU} detection enhancement in {SRAM} memories}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {141--146}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560219}, doi = {10.1109/IOLTS.2010.5560219}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BotaTAVS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BousselamNFR10, author = {Kaouthar Bousselam and Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {Evaluation of concurrent error detection techniques on the advanced encryption standard}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {223--228}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560196}, doi = {10.1109/IOLTS.2010.5560196}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BousselamNFR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CampagnaV10, author = {Salvatore Campagna and Massimo Violante}, title = {A framework to support the design of COTS-based reliable space computers for on-board data handling}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {91--96}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560229}, doi = {10.1109/IOLTS.2010.5560229}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CampagnaV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChipanaBVSRTT10, author = {Raul Chipana and Let{\'{\i}}cia Maria Veiras Bolzani and Fabian Vargas and Jorge Semi{\~{a}}o and Juan J. Rodr{\'{\i}}guez{-}Andina and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {Investigating the Use of {BICS} to detect resistive-open defects in SRAMs}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {200--201}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560207}, doi = {10.1109/IOLTS.2010.5560207}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChipanaBVSRTT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DevarakondSBNC10, author = {Shyam Kumar Devarakond and Shreyas Sen and Aritra Banerjee and Vishwanath Natarajan and Abhijit Chatterjee}, title = {Built-in performance monitoring of mixed-signal/RF front ends using real-time parameter estimation}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {77--82}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560231}, doi = {10.1109/IOLTS.2010.5560231}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DevarakondSBNC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DimopoulosSH10, author = {Michael G. Dimopoulos and Alexios Spyronasios and Alkis A. Hatzopoulos}, title = {Wavelet analysis of measurements for on-line testing analog {\&} mixed-signal circuits}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {83--87}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560228}, doi = {10.1109/IOLTS.2010.5560228}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DimopoulosSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuplysBR10, author = {Paul Duplys and Eberhard B{\"{o}}hl and Wolfgang Rosenstiel}, title = {Key randomization using a power analysis resistant deterministic random bit generator}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {229--234}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560197}, doi = {10.1109/IOLTS.2010.5560197}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DuplysBR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EvainBG10, author = {Samuel Evain and Yannick Bonhomme and Valentin Gherman}, title = {Programmable restricted {SEC} codes to mask permanent faults in semiconductor memories}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {147--153}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560216}, doi = {10.1109/IOLTS.2010.5560216}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/EvainBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FarazmandZT10, author = {Navid Farazmand and Masoud Zamani and Mehdi Baradaran Tahoori}, title = {Online fault testing of reversible logic using dual rail coding}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {204--205}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560205}, doi = {10.1109/IOLTS.2010.5560205}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FarazmandZT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FaureBP10, author = {Etienne Faure and Mounir Benabdenbi and Fran{\c{c}}ois P{\^{e}}cheux}, title = {Distributed online software monitoring of manycore architectures}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {56--61}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560232}, doi = {10.1109/IOLTS.2010.5560232}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FaureBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GangadharT10, author = {Sreenivas Gangadhar and Spyros Tragoudas}, title = {Probabilistic methods for the impact of an {SET} in combinational logic}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {41--46}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560234}, doi = {10.1109/IOLTS.2010.5560234}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GangadharT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GawkowskiRS10, author = {Piotr Gawkowski and Tomasz Rutkowski and Janusz Sosnowski}, title = {Improving fault handling software techniques}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {197--199}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560206}, doi = {10.1109/IOLTS.2010.5560206}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GawkowskiRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrossoRPGLE10, author = {Michelangelo Grosso and Matteo Sonza Reorda and Marta Portela{-}Garc{\'{\i}}a and Mario Garc{\'{\i}}a{-}Valderas and Celia L{\'{o}}pez{-}Ongil and Luis Entrena}, title = {An on-line fault detection technique based on embedded debug features}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560215}, doi = {10.1109/IOLTS.2010.5560215}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GrossoRPGLE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HeronGVG10, author = {Olivier H{\'{e}}ron and Julien Guilhemsang and Nicolas Ventroux and Alain Giulieri}, title = {Analysis of on-line self-testing policies for real-time embedded multiprocessors in {DSM} technologies}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {49--55}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560235}, doi = {10.1109/IOLTS.2010.5560235}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HeronGVG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarakonstantisAR10, author = {Georgios Karakonstantis and Charles Augustine and Kaushik Roy}, title = {A self-consistent model to estimate {NBTI} degradation and a comprehensive on-line system lifetime enhancement technique}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560240}, doi = {10.1109/IOLTS.2010.5560240}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KarakonstantisAR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KhanH10, author = {Seyab Khan and Said Hamdioui}, title = {Temperature dependence of {NBTI} induced delay}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560238}, doi = {10.1109/IOLTS.2010.5560238}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KhanH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaliukSM10, author = {Dzmitry Maliuk and Haralampos{-}G. D. Stratigopoulos and Yiorgos Makris}, title = {An analog {VLSI} multilayer perceptron and its application towards built-in self-test in analog circuits}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {71--76}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560230}, doi = {10.1109/IOLTS.2010.5560230}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MaliukSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/McIntyreWPB10, author = {David R. McIntyre and Francis G. Wolff and Christos A. Papachristou and Swarup Bhunia}, title = {Trustworthy computing in a multi-core system using distributed scheduling}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {211--213}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560200}, doi = {10.1109/IOLTS.2010.5560200}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/McIntyreWPB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MerentitisMKPG10, author = {Andreas Merentitis and Dionisis Margaris and Nektarios Kranitis and Antonis M. Paschalis and Dimitris Gizopoulos}, title = {{SBST} for on-line detection of hard faults in multiprocessor applications under energy constraints}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {62--67}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560233}, doi = {10.1109/IOLTS.2010.5560233}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MerentitisMKPG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MinasWMSOMPVM10, author = {Nikolaos Minas and Ingrid De Wolf and Erik Jan Marinissen and Michele Stucchi and Herman Oprins and Abdelkarim Mercha and Geert Van der Plas and Dimitrios Velenis and Pol Marchal}, title = {3D integration: Circuit design, test, and reliability challenges}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {217}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560201}, doi = {10.1109/IOLTS.2010.5560201}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MinasWMSOMPVM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NicolaidisPA10, author = {Michael Nicolaidis and Vladimir Pasca and Lorena Anghel}, title = {Interconnect Built-In Self-Repair and Adaptive-Serialization {(I-BIRAS)} for 3D integrated systems}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {218}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560198}, doi = {10.1109/IOLTS.2010.5560198}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/NicolaidisPA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NojiFYII10, author = {Ryoji Noji and Satoshi Fujie and Yuki Yoshikawa and Hideyuki Ichihara and Tomoo Inoue}, title = {An FPGA-based fail-soft system with adaptive reconfiguration}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560223}, doi = {10.1109/IOLTS.2010.5560223}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NojiFYII10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Ocheretny10, author = {Vitaly Ocheretny}, title = {Self-checking arithmetic logic unit with duplicated outputs}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {202--203}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560204}, doi = {10.1109/IOLTS.2010.5560204}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Ocheretny10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PascaARB10, author = {Vladimir Pasca and Lorena Anghel and Claudia Rusu and Mounir Benabdenbi}, title = {Configurable serial fault-tolerant link for communication in 3D integrated systems}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560225}, doi = {10.1109/IOLTS.2010.5560225}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PascaARB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PignolMA10, author = {Michel Pignol and Florence Malou and Corinne Aicardi}, title = {Qualification and relifing testing for space applications applied to the agilent G-Link components}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560227}, doi = {10.1109/IOLTS.2010.5560227}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PignolMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PomeranzR10, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {Selecting state variables for improved on-line testability through output response comparison of identical circuits}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560213}, doi = {10.1109/IOLTS.2010.5560213}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PomeranzR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PrasanthSP10, author = {V. Prasanth and Virendra Singh and Rubin A. Parekhji}, title = {Robust detection of soft errors using delayed capture methodology}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {277--282}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560188}, doi = {10.1109/IOLTS.2010.5560188}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PrasanthSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RechGMLADPR10, author = {Paolo Rech and Michelangelo Grosso and Fabio Melchiori and Domenico Loparco and Davide Appello and Luigi Dilillo and Alessandro Paccagnella and Matteo Sonza Reorda}, title = {Analysis of root causes of alpha sensitivity variations on microprocessors manufactured using different cell layouts}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {29--34}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560236}, doi = {10.1109/IOLTS.2010.5560236}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RechGMLADPR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Richardson10, author = {A. Richardson}, title = {Concepts for fault tolerant sensor systems}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {137}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560218}, doi = {10.1109/IOLTS.2010.5560218}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Richardson10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Rius10, author = {Josep Rius}, title = {A method for detecting resistive opens in buses}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {187--189}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560210}, doi = {10.1109/IOLTS.2010.5560210}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Rius10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RozkovecJN10, author = {Martin Rozkovec and Jiri Jen{\'{\i}}cek and Ondrej Nov{\'{a}}k}, title = {Application dependent {FPGA} testing method using compressed deterministic test vectors}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {192--193}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560208}, doi = {10.1109/IOLTS.2010.5560208}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RozkovecJN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RusuAA10, author = {Claudia Rusu and Lorena Anghel and Dimiter Avresky}, title = {{RILM:} Reconfigurable inter-layer routing mechanism for 3D multi-layer networks-on-chip}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560222}, doi = {10.1109/IOLTS.2010.5560222}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RusuAA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShahabiHSN10, author = {Ali Shahabi and S. Behdad Hosseini and Hasan Sohofi and Zainalabedin Navabi}, title = {A partitioning approach to improve reconfigurable neuron-inspired online {BIST}}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560212}, doi = {10.1109/IOLTS.2010.5560212}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ShahabiHSN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SkoufisT10, author = {Michael N. Skoufis and Spyros Tragoudas}, title = {On-line detection of random voltage perturbations in buses with multiple-threshold receivers}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560192}, doi = {10.1109/IOLTS.2010.5560192}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SkoufisT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Tarnick10, author = {Steffen Tarnick}, title = {Design of embedded constant weight code checkers based on averaging operations}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {255--260}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560193}, doi = {10.1109/IOLTS.2010.5560193}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Tarnick10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/TheodorouKPG10, author = {George Theodorou and Nektarios Kranitis and Antonis M. Paschalis and Dimitris Gizopoulos}, title = {A software-based self-test methodology for in-system testing of processor cache tag arrays}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {159--164}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560214}, doi = {10.1109/IOLTS.2010.5560214}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/TheodorouKPG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ValadimasTA10, author = {Stefanos Valadimas and Yiorgos Tsiatouhas and Angela Arapoyanni}, title = {Timing error tolerance in nanometer ICs}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560189}, doi = {10.1109/IOLTS.2010.5560189}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ValadimasTA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VaskovaLJME10, author = {Anna Vaskova and Celia L{\'{o}}pez{-}Ongil and Alejandro Jim{\'{e}}nez{-}Horas and Enrique San Mill{\'{a}}n and Luis Entrena}, title = {Robust cryptographic ciphers with on-line statistical properties validation}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {208--210}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560203}, doi = {10.1109/IOLTS.2010.5560203}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VaskovaLJME10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VazquezCZRSTST10, author = {Julio C{\'{e}}sar V{\'{a}}zquez and V{\'{\i}}ctor H. Champac and Adriel Ziesemer and Ricardo Reis and Jorge Semi{\~{a}}o and Isabel C. Teixeira and Marcelino B. Santos and Jo{\~{a}}o Paulo Teixeira}, title = {Predictive error detection by on-line aging monitoring}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {9--14}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560241}, doi = {10.1109/IOLTS.2010.5560241}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VazquezCZRSTST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangK10, author = {Zhen Wang and Mark G. Karpovsky}, title = {Robust FSMs for cryptographic devices resilient to strong fault injection attacks}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {240--245}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560195}, doi = {10.1109/IOLTS.2010.5560195}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WangK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangKII10, author = {Long Wang and Zbigniew Kalbarczyk and Ravishankar K. Iyer and Arun Iyengar}, title = {Checkpointing virtual machines against transient errors}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560226}, doi = {10.1109/IOLTS.2010.5560226}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WangKII10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WellsNC10, author = {Joshua W. Wells and Jayaram Natarajan and Abhijit Chatterjee}, title = {Error resilient video encoding using Block-Frame Checksums}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560186}, doi = {10.1109/IOLTS.2010.5560186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WellsNC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YiYISKF10, author = {Hyunbean Yi and Tomokazu Yoneda and Michiko Inoue and Yasuo Sato and Seiji Kajihara and Hideo Fujiwara}, title = {Aging test strategy and adaptive test scheduling for SoC failure prediction}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560239}, doi = {10.1109/IOLTS.2010.5560239}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/YiYISKF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZeidlerBKK10, author = {Steffen Zeidler and Alexandre V. Bystrov and Milos Krstic and Rolf Kraemer}, title = {On-line testing of bundled-data asynchronous handshake protocols}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {261--267}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560190}, doi = {10.1109/IOLTS.2010.5560190}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZeidlerBKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhangGB10, author = {Zhen Zhang and Alain Greiner and Mounir Benabdenbi}, title = {Fully distributed initialization procedure for a 2D-Mesh NoC, including off-line {BIST} and partial deactivation of faulty components}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {194--196}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560209}, doi = {10.1109/IOLTS.2010.5560209}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZhangGB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Zorian10, author = {Yervant Zorian}, title = {Test and reliability concerns for 3D-ICs}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {219}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560199}, doi = {10.1109/IOLTS.2010.5560199}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Zorian10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2010, title = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5550907/proceeding}, isbn = {978-1-4244-7724-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlexandrescuLSB09, author = {Dan Alexandrescu and Anne{-}Lise Lhomme{-}Perrot and Erwin Sch{\"{a}}fer and Cyrille Beltrando}, title = {Highs and lows of radiation testing}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {179}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196004}, doi = {10.1109/IOLTS.2009.5196004}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlexandrescuLSB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ArgyridesLPC09, author = {Costas Argyrides and Carlos Arthur Lang Lisb{\^{o}}a and Dhiraj K. Pradhan and Luigi Carro}, title = {A fast error correction technique for matrix multiplication algorithms}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {133--137}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195995}, doi = {10.1109/IOLTS.2009.5195995}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ArgyridesLPC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzambujaSRK09, author = {Jos{\'{e}} Rodrigo Azambuja and Fernando Sousa and Lucas Rosa and Fernanda Lima Kastensmidt}, title = {Evaluating large grain {TMR} and selective partial reconfiguration for soft error mitigation in SRAM-based FPGAs}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195990}, doi = {10.1109/IOLTS.2009.5195990}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AzambujaSRK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BaranovLKK09, author = {Samary Baranov and Ilya Levin and Osnat Keren and Mark G. Karpovsky}, title = {Designing fault tolerant {FSM} by nano-PLA}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {229--234}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196021}, doi = {10.1109/IOLTS.2009.5196021}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BaranovLKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BastosMSKRR09, author = {Rodrigo Possamai Bastos and Yannick Monnet and Gilles Sicard and Fernanda Lima Kastensmidt and Marc Renaudin and Ricardo Reis}, title = {Comparing transient-fault effects on synchronous and on asynchronous circuits}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {29--34}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195979}, doi = {10.1109/IOLTS.2009.5195979}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BastosMSKRR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BattezzatiDVB09, author = {Niccol{\`{o}} Battezzati and Filomena Decuzzi and Massimo Violante and Michel Briet}, title = {Application-oriented {SEU} sensitiveness analysis of Atmel rad-hard FPGAs}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195988}, doi = {10.1109/IOLTS.2009.5195988}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BattezzatiDVB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BizotZN09, author = {Gilles Bizot and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {Variability and reliability-aware application tasks scheduling and power control (Voltage and Frequency Scaling) in the future nanoscale multiprocessors system on chip}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {155}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196000}, doi = {10.1109/IOLTS.2009.5196000}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BizotZN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BohlD09, author = {Eberhard B{\"{o}}hl and Paul Duplys}, title = {Nonlinear compression functions using the {MISR} approach for security purposes in automotive applications}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195983}, doi = {10.1109/IOLTS.2009.5195983}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BohlD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BotaTA09, author = {Sebasti{\`{a}} A. Bota and Gabriel Torrens and Bartomeu Alorda}, title = {Critical charge characterization in 6-T SRAMs during read mode}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {120--125}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195993}, doi = {10.1109/IOLTS.2009.5195993}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BotaTA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BougerolMB09, author = {Antonin Bougerol and Florent Miller and Nadine Buard}, title = {Novel {DRAM} mitigation technique}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {109--113}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195991}, doi = {10.1109/IOLTS.2009.5195991}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BougerolMB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Chandra09, author = {Vikas Chandra}, title = {Designing dependable multicore system with unreliable components}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {154}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195999}, doi = {10.1109/IOLTS.2009.5195999}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Chandra09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChatterjeeASMKP09, author = {Abhijit Chatterjee and Jacob A. Abraham and Adit D. Singh and Elie Maricau and Rakesh Kumar and Christos A. Papachristou}, title = {Panel: Realistic low power design: Let errors occur and correct them later or mitigate errors via design guardbanding and process control?}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {129}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195994}, doi = {10.1109/IOLTS.2009.5195994}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChatterjeeASMKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ConcattoAKCLH09, author = {Caroline Concatto and Pedro Almeida and Fernanda Lima Kastensmidt and {\'{E}}rika F. Cota and Marcelo Lubaszewski and Marcos Herv{\'{e}}}, title = {Improving yield of torus nocs through fault-diagnosis-and-repair of interconnect faults}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195984}, doi = {10.1109/IOLTS.2009.5195984}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ConcattoAKCLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuplysB09, author = {Paul Duplys and Eberhard B{\"{o}}hl}, title = {Linear and nonlinear {MISR} operations for safety and security in automotive applications}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {187--188}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196008}, doi = {10.1109/IOLTS.2009.5196008}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DuplysB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuttaSSP09, author = {Amit Dutta and Malav Shah and G. Swathi and Rubin A. Parekhji}, title = {Design techniques and tradeoffs in implementing non-destructive field test using logic {BIST} self-test}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196022}, doi = {10.1109/IOLTS.2009.5196022}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DuttaSSP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/EghbalYPZ09, author = {Ashkan Eghbal and Pooria M. Yaghini and Hossein Pedram and Hamid R. Zarandi}, title = {Fault injection-based evaluation of a synchronous NoC router}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {212--214}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196018}, doi = {10.1109/IOLTS.2009.5196018}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/EghbalYPZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FanKKMRBV09, author = {Junfeng Fan and Miroslav Knezevic and Dusko Karaklajic and Roel Maes and Vladimir Rozic and Lejla Batina and Ingrid Verbauwhede}, title = {FPGA-based testing strategy for cryptographic chips: {A} case study on Elliptic Curve Processor for {RFID} tags}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {189--191}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196009}, doi = {10.1109/IOLTS.2009.5196009}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/FanKKMRBV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FidalgoAFG09, author = {Andr{\'{e}} V. Fidalgo and Gustavo R. Alves and Manuel C. Felgueiras and Manuel G. Gericota}, title = {Using test infrastructures for (remote) online evaluation of the sensitivity to SEUs of FPGAs}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {181}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196006}, doi = {10.1109/IOLTS.2009.5196006}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FidalgoAFG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Garcia-GervacioC09, author = {Jose Luis Garcia{-}Gervacio and V{\'{\i}}ctor H. Champac}, title = {Detectability analysis of small delays due to resistive opens considering process variations}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {195--197}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196011}, doi = {10.1109/IOLTS.2009.5196011}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Garcia-GervacioC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Garcia-ValderasPLE09, author = {Mario Garc{\'{\i}}a{-}Valderas and Marta Portela{-}Garc{\'{\i}}a and Celia L{\'{o}}pez{-}Ongil and Luis Entrena}, title = {In-depth analysis of digital circuits against soft errors for selective hardening}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {144--149}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195997}, doi = {10.1109/IOLTS.2009.5195997}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Garcia-ValderasPLE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrandoLMC09, author = {Carmela Noro Grando and Carlos Arthur Lang Lisb{\^{o}}a and {\'{A}}lvaro Freitas Moreira and Luigi Carro}, title = {Invariant checkers: An efficient low cost technique for run-time transient errors detection}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195980}, doi = {10.1109/IOLTS.2009.5195980}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GrandoLMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrossoR09, author = {Michelangelo Grosso and Matteo Sonza Reorda}, title = {Exploiting embedded {FPGA} in on-line software-based test strategies for microprocessor cores}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195989}, doi = {10.1109/IOLTS.2009.5195989}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GrossoR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GuardianiSBGAPB09, author = {C. Guardiani and A. Shibkov and Angelo Brambilla and Giancarlo Storti Gajani and Davide Appello and Fausto Piazza and Paolo Bernardi}, title = {An {I-IP} based approach for the monitoring of {NBTI} effects in SoCs}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195977}, doi = {10.1109/IOLTS.2009.5195977}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GuardianiSBGAPB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HuA09, author = {Shih{-}Hsin Hu and Jacob A. Abraham}, title = {Error detection in 2-D Discrete Wavelet lifting transforms}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {170--175}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196003}, doi = {10.1109/IOLTS.2009.5196003}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HuA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HubertVP09, author = {Guillaume Hubert and Raoul Velazco and Paul Peronnard}, title = {A generic platform for remote accelerated tests and high altitude {SEU} experiments on advanced ICs: Correlation with {MUSCA} {SEP3} calculations}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {180}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196005}, doi = {10.1109/IOLTS.2009.5196005}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HubertVP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HungerHCPB09, author = {Marc Hunger and Sybille Hellebrand and Alejandro Czutro and Ilia Polian and Bernd Becker}, title = {ATPG-based grading of strong fault-secureness}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {269--274}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196027}, doi = {10.1109/IOLTS.2009.5196027}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HungerHCPB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/JaberMD09, author = {Houssein Jaber and Fabrice Monteiro and Abbas Dandache}, title = {An effective fast and small-area parallel-pipeline architecture for OTM-convolutional encoders}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {257--261}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196025}, doi = {10.1109/IOLTS.2009.5196025}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/JaberMD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Jimenez-HorasMLPGE09, author = {Alejandro Jim{\'{e}}nez{-}Horas and Enrique San Mill{\'{a}}n and Celia L{\'{o}}pez{-}Ongil and Marta Portela{-}Garc{\'{\i}}a and Mario Garc{\'{\i}}a{-}Valderas and Luis Entrena}, title = {Pseudo-random number generation applied to robust modern cryptography: {A} new technique for block ciphers}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {203--205}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196014}, doi = {10.1109/IOLTS.2009.5196014}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Jimenez-HorasMLPGE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KolonisNGPCZ09, author = {Eleftherios Kolonis and Michael Nicolaidis and Dimitris Gizopoulos and Mihalis Psarakis and Jacques Henri Collet and Piotr Zajac}, title = {Enhanced self-configurability and yield in multicore grids}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {75--80}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195986}, doi = {10.1109/IOLTS.2009.5195986}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KolonisNGPCZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LorenzGS09, author = {Dominik Lorenz and Georg Georgakos and Ulf Schlichtmann}, title = {Aging analysis of circuit timing considering {NBTI} and {HCI}}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195975}, doi = {10.1109/IOLTS.2009.5195975}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LorenzGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaistriL09, author = {Paolo Maistri and R{\'{e}}gis Leveugle}, title = {Towards automated fault pruning with Petri Nets}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {41--46}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195981}, doi = {10.1109/IOLTS.2009.5195981}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MaistriL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaquedaR09, author = {Pablo Maqueda and Josep Rius}, title = {Analysis of the extra delay on interconnects caused by resistive opens and shorts}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {208--209}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196016}, doi = {10.1109/IOLTS.2009.5196016}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MaquedaR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaricauG09, author = {Elie Maricau and Georges G. E. Gielen}, title = {A methodology for measuring transistor ageing effects towards accurate reliability simulation}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195978}, doi = {10.1109/IOLTS.2009.5195978}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MaricauG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NamaziSME09, author = {Alireza Namazi and Yasser Sedaghat and Seyed Ghassem Miremadi and Alireza Ejlali}, title = {A low-cost fault-tolerant technique for Carry Look-Ahead adder}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {217--222}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196019}, doi = {10.1109/IOLTS.2009.5196019}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NamaziSME09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NatarajanKSNLC09, author = {Jayaram Natarajan and Gokul Kumar and Shreyas Sen and Muhammad Mudassar Nisar and Deuk Lee and Abhijit Chatterjee}, title = {Aggressively voltage overscaled adaptive {RF} systems using error control at the bit and symbol levels}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196024}, doi = {10.1109/IOLTS.2009.5196024}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NatarajanKSNLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PontarelliCRS09, author = {Salvatore Pontarelli and Gian Carlo Cardarilli and Marco Re and Adelio Salsano}, title = {Error detection in addition chain based {ECC} Point Multiplication}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {192--194}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196010}, doi = {10.1109/IOLTS.2009.5196010}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PontarelliCRS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RahamanMJP09, author = {Hafizur Rahaman and Jimson Mathew and Abusaleh M. Jabir and Dhiraj K. Pradhan}, title = {C-testable S-box implementation for secure advanced encryption standard}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {210--211}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196017}, doi = {10.1109/IOLTS.2009.5196017}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RahamanMJP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RamazaniAMDD09, author = {Abbas Ramazani and Mohsin Amin and Fabrice Monteiro and Camille Diou and Abbas Dandache}, title = {A fault tolerant journalized stack processor architecture}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {201--202}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196013}, doi = {10.1109/IOLTS.2009.5196013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RamazaniAMDD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RechGPBGRA09, author = {Paolo Rech and Simone Gerardin and Alessandro Paccagnella and Paolo Bernardi and Michelangelo Grosso and Matteo Sonza Reorda and Davide Appello}, title = {Evaluating Alpha-induced soft errors in embedded microprocessors}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {69--74}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195985}, doi = {10.1109/IOLTS.2009.5195985}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RechGPBGRA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ReviriegoMOB09, author = {Pedro Reviriego and Juan Antonio Maestro and Anne O'Donnell and Chris J. Bleakley}, title = {Soft error detection and correction for {FFT} based convolution using different block lengths}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {138--143}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195996}, doi = {10.1109/IOLTS.2009.5195996}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ReviriegoMOB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RichterG09, author = {Michael Richter and Michael G{\"{o}}ssel}, title = {Concurrent checking with split-parity codes}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {159--163}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196001}, doi = {10.1109/IOLTS.2009.5196001}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RichterG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RochaDMNSST09, author = {Jos{\'{e}} F. da Rocha and Nuno Dias and Angelo Monteiro and Alexandre Neves and Gabriel Santos and Marcelino B. Santos and Jo{\~{a}}o Paulo Teixeira}, title = {Controllability and observability in mixed signal cores}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {198--200}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196012}, doi = {10.1109/IOLTS.2009.5196012}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RochaDMNSST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SemiaoFRVSTT09, author = {Jorge Semi{\~{a}}o and Judit Freijedo and Juan J. Rodr{\'{\i}}guez{-}Andina and Fabian Vargas and Marcelino B. Santos and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {Delay-fault tolerance to power supply Voltage disturbances analysis in nanometer technologies}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {223--228}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196020}, doi = {10.1109/IOLTS.2009.5196020}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SemiaoFRVSTT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShiyanovskiiWP09, author = {Yuriy Shiyanovskii and Francis G. Wolff and Christos A. Papachristou}, title = {{SRAM} cell design using tri-state devices for {SEU} protection}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {114--119}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195992}, doi = {10.1109/IOLTS.2009.5195992}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ShiyanovskiiWP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VargasRPPOGE09, author = {Fabian Vargas and Claudia A. Rocha and Bernardo Pianta and Marta Portela{-}Garc{\'{\i}}a and Celia L{\'{o}}pez{-}Ongil and Mario Garc{\'{\i}}a{-}Valderas and Luis Entrena}, title = {Briefing power/reliability optimization in embedded software design}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {185--186}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196007}, doi = {10.1109/IOLTS.2009.5196007}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VargasRPPOGE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VazquezCZRTST09, author = {Julio C{\'{e}}sar V{\'{a}}zquez and V{\'{\i}}ctor H. Champac and Adriel Ziesemer and Ricardo Reis and Isabel C. Teixeira and Marcelino B. Santos and Jo{\~{a}}o Paulo Teixeira}, title = {Built-in aging monitoring for safety-critical applications}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {9--14}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195976}, doi = {10.1109/IOLTS.2009.5195976}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VazquezCZRTST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Vera09, author = {Xavier Vera}, title = {DFx for massively multiprocessors}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {153}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195998}, doi = {10.1109/IOLTS.2009.5195998}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Vera09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VeraACCG09, author = {Xavier Vera and Jaume Abella and Javier Carretero and Pedro Chaparro and Antonio Gonz{\'{a}}lez}, title = {Online error detection and correction of erratic bits in register files}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {81--86}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195987}, doi = {10.1109/IOLTS.2009.5195987}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VeraACCG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ViolanteE09, author = {Massimo Violante and M. L. Esposti}, title = {A low-cost solution for developing reliable Linux-based space computers for on-board data handling}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195982}, doi = {10.1109/IOLTS.2009.5195982}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ViolanteE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VoyiatzisGP09, author = {Ioannis Voyiatzis and Dimitris Gizopoulos and Antonis M. Paschalis}, title = {An Input Vector Monitoring Concurrent {BIST} scheme exploiting}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {206--207}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196015}, doi = {10.1109/IOLTS.2009.5196015}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VoyiatzisGP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WangKS09, author = {Zhen Wang and Mark G. Karpovsky and Berk Sunar}, title = {Multilinear codes for robust error detection}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {164--169}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196002}, doi = {10.1109/IOLTS.2009.5196002}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WangKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZeidlerEKAWK09, author = {Steffen Zeidler and Marcus Ehrig and Milos Krstic and Michael Augustin and Christoph Wolf and Rolf Kraemer}, title = {Ultra low cost asynchronous handshake checker}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {262--268}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196026}, doi = {10.1109/IOLTS.2009.5196026}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZeidlerEKAWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZickH09, author = {Kenneth M. Zick and John P. Hayes}, title = {On-line characterization and reconfiguration for single event upset variations}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {243--248}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5196023}, doi = {10.1109/IOLTS.2009.5196023}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZickH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2009, title = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5176124/proceeding}, isbn = {978-1-4244-4596-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbellaCVCG08, author = {Jaume Abella and Pedro Chaparro and Xavier Vera and Javier Carretero and Antonio Gonz{\'{a}}lez}, title = {On-Line Failure Detection and Confinement in Caches}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {3--9}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.15}, doi = {10.1109/IOLTS.2008.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbellaCVCG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Aitken08, author = {Rob Aitken}, title = {Special Session 4: Reliability and Circuit Simulation}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {195--196}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.69}, doi = {10.1109/IOLTS.2008.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Aitken08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlmukhaizimMYV08, author = {Sobeeh Almukhaizim and Yiorgos Makris and Yu{-}Shen Yang and Andreas G. Veneris}, title = {On the Minimization of Potential Transient Errors and {SER} in Logic Circuits Using {SPFD}}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {123--128}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.16}, doi = {10.1109/IOLTS.2008.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlmukhaizimMYV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ArgyridesVMP08, author = {Costas Argyrides and Fabian Vargas and Marlon Moraes and Dhiraj K. Pradhan}, title = {Embedding Current Monitoring in H-Tree {RAM} Architecture for Multiple {SEU} Tolerance and Reliability Improvement}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {155--160}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.36}, doi = {10.1109/IOLTS.2008.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ArgyridesVMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzaisLBR08, author = {Florence Aza{\"{\i}}s and Laurent Larguier and Yves Bertrand and Michel Renovell}, title = {On the Detection of SSN-Induced Logic Errors through On-Chip Monitoring}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {233--238}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.19}, doi = {10.1109/IOLTS.2008.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AzaisLBR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BartzoudisTM08, author = {Nikolaos G. Bartzoudis and Vasileios Tantsios and Klaus D. McDonald{-}Maier}, title = {Dynamic Scheduling of Test Routines for Efficient Online Self-Testing of Embedded Microprocessors}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {185--187}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.55}, doi = {10.1109/IOLTS.2008.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BartzoudisTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BattezzatiGMPRSV08, author = {Niccol{\`{o}} Battezzati and Simone Gerardin and Andrea Manuzzato and Alessandro Paccagnella and Sana Rezgui and Luca Sterpone and Massimo Violante}, title = {On the Evaluation of Radiation-Induced Transient Faults in Flash-Based FPGAs}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {135--140}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.47}, doi = {10.1109/IOLTS.2008.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BattezzatiGMPRSV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BougerolMB08, author = {Antonin Bougerol and Florent Miller and Nadine Buard}, title = {{SDRAM} Architecture {\&} Single Event Effects Revealed with Laser}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.40}, doi = {10.1109/IOLTS.2008.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BougerolMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CanivetCFVRL08, author = {Gaetan Canivet and Jessy Cl{\'{e}}di{\`{e}}re and Jean Baptiste Ferron and Fr{\'{e}}d{\'{e}}ric Valette and Marc Renaudin and R{\'{e}}gis Leveugle}, title = {Detailed Analyses of Single Laser Shot Effects in the Configuration of a Virtex-II {FPGA}}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.41}, doi = {10.1109/IOLTS.2008.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CanivetCFVRL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DarbariAHB08, author = {Ashish Darbari and Bashir M. Al{-}Hashimi and Peter Harrod and Daryl Bradley}, title = {A New Approach for Transient Fault Injection Using Symbolic Simulation}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {93--98}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.59}, doi = {10.1109/IOLTS.2008.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DarbariAHB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DasRR08, author = {Nachiketa Das and Pranab Roy and Hafizur Rahaman}, title = {On Line Testing of Single Feedback Bridging Fault in Cluster Based {FPGA} by Using Asynchronous Element}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {190--191}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.11}, doi = {10.1109/IOLTS.2008.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DasRR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DuarteMKP08, author = {Ricardo de Oliveira Duarte and Luiz de Siqueira Martins{-}Filho and Guilherme F. T. Knop and Ricardo S. Prado}, title = {A Fault-Tolerant Attitude Determination System Based on {COTS} Devices}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {85--90}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.20}, doi = {10.1109/IOLTS.2008.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DuarteMKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GangadharST08, author = {Sreenivas Gangadhar and Michael N. Skoufis and Spyros Tragoudas}, title = {Propagation of Transients Along Sensitizable Paths}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.46}, doi = {10.1109/IOLTS.2008.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GangadharST08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GawkowskiS08, author = {Piotr Gawkowski and Janusz Sosnowski}, title = {Developing Fault Injection Environment for Complex Experiments}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {179--181}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.13}, doi = {10.1109/IOLTS.2008.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GawkowskiS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Heijmen08, author = {Tino Heijmen}, title = {Special Session 3 - Panel: {SER} in Automotive: what is the impact of the {AEC} {Q100-G} spec?}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {161--162}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.68}, doi = {10.1109/IOLTS.2008.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Heijmen08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Heijmen08a, author = {Tino Heijmen}, title = {Soft-Error Vulnerability of Sub-100-nm Flip-Flops}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {247--252}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.12}, doi = {10.1109/IOLTS.2008.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Heijmen08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HuangL08, author = {Zhengfeng Huang and Huaguo Liang}, title = {A New Radiation Hardened by Design Latch for Ultra-Deep-Sub-Micron Technologies}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {175--176}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.9}, doi = {10.1109/IOLTS.2008.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HuangL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HungerH08, author = {Marc Hunger and Sybille Hellebrand}, title = {Verification and Analysis of Self-Checking Properties through {ATPG}}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.32}, doi = {10.1109/IOLTS.2008.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HungerH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ImhofWZ08, author = {Michael E. Imhof and Hans{-}Joachim Wunderlich and Christian G. Zoellin}, title = {Integrating Scan Design and Soft Error Correction in Low-Power Applications}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {59--64}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.31}, doi = {10.1109/IOLTS.2008.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ImhofWZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Kao08, author = {Yu (Kevin) Kao}, title = {Modeling and Simulation of Circuit Aging in Scaled {CMOS} Design}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {197}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.65}, doi = {10.1109/IOLTS.2008.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Kao08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KarimiASN08, author = {Naghmeh Karimi and Soheil Aminzadeh and Saeed Safari and Zainalabedin Navabi}, title = {A Novel GA-Based High-Level Synthesis Technique to Enhance RT-Level Concurrent Testing}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {173--174}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.43}, doi = {10.1109/IOLTS.2008.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KarimiASN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KoalV08, author = {Tobias Koal and Heinrich Theodor Vierhaus}, title = {Basic Architecture for Logic Self Repair}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {177--178}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.17}, doi = {10.1109/IOLTS.2008.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KoalV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LeroyGSBWW08, author = {Damien Leroy and R{\'{e}}mi Gaillard and Erwin Sch{\"{a}}fer and Cyrille Beltrando and Shi{-}Jie Wen and Richard Wong}, title = {Variation of {SRAM} Alpha-Induced Soft Error Rate with Technology Node}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {253--257}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.38}, doi = {10.1109/IOLTS.2008.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LeroyGSBWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Lopez-OngilJPGME08, author = {Celia L{\'{o}}pez{-}Ongil and Alejandro Jim{\'{e}}nez{-}Horas and Marta Portela{-}Garc{\'{\i}}a and Mario Garc{\'{\i}}a{-}Valderas and Enrique San Mill{\'{a}}n and Luis Entrena}, title = {Smart Hardening for Round-based Encryption Algorithms: Application to Advanced Encryption Standard}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {167--168}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.42}, doi = {10.1109/IOLTS.2008.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Lopez-OngilJPGME08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MagosVT08, author = {Dimitris Magos and Ioannis Voyiatzis and Steffen Tarnick}, title = {A Low-Cost Accumulator-Based Test Pattern Generation Architecture}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {267--272}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.54}, doi = {10.1109/IOLTS.2008.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MagosVT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MaistriEL08, author = {Paolo Maistri and Cyril Excoffon and R{\'{e}}gis Leveugle}, title = {Software Self-Testing of a Symmetric Cipher with Error Detection Capability}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.33}, doi = {10.1109/IOLTS.2008.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MaistriEL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MathewJP08, author = {Jimson Mathew and Abusaleh M. Jabir and Dhiraj K. Pradhan}, title = {Design Techniques for Bit-Parallel Galois Field Multipliers with On-Line Single Error Correction and Double Error Detection}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {16--21}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.34}, doi = {10.1109/IOLTS.2008.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MathewJP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MathewSTP08, author = {Jimson Mathew and Jawar Singh and Anas Abu Taleb and Dhiraj K. Pradhan}, title = {Fault Tolerant Reversible Finite Field Arithmetic Circuits}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {188--189}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.35}, doi = {10.1109/IOLTS.2008.35}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MathewSTP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MerentitisTGK08, author = {Andreas Merentitis and George Theodorou and Mihalis Giorgaras and Nektarios Kranitis}, title = {Directed Random {SBST} Generation for On-Line Testing of Pipelined Processors}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {273--279}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.18}, doi = {10.1109/IOLTS.2008.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MerentitisTGK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Mitra08, author = {Subhasish Mitra}, title = {Soft Error Protection Techniques}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {45}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.64}, doi = {10.1109/IOLTS.2008.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Mitra08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Nicolaidis08, author = {Michael Nicolaidis}, title = {Special Session 2: Benchmarking and Standardization in Software-Based {SER} Characterization: Towards an {IEEE} Task Force?}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {105--106}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.67}, doi = {10.1109/IOLTS.2008.67}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Nicolaidis08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NisarC08, author = {Muhammad Mudassar Nisar and Abhijit Chatterjee}, title = {Guided Probabilistic Checksums for Error Control in Low Power Digital-Filters}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {239--244}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.50}, doi = {10.1109/IOLTS.2008.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NisarC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OhlerBNH08, author = {Philipp {\"{O}}hler and Alberto Bosio and Giorgio Di Natale and Sybille Hellebrand}, title = {A Modular Memory {BIST} for Optimized Memory Repair}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {171--172}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.30}, doi = {10.1109/IOLTS.2008.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/OhlerBNH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PekmestziASM08, author = {Kiamal Z. Pekmestzi and Nicholas Axelos and Isidoros Sideris and Nikos K. Moshopoulos}, title = {A {BISR} Architecture for Embedded Memories}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.21}, doi = {10.1109/IOLTS.2008.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PekmestziASM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PerezVRSR08, author = {Wilson J. P{\'{e}}rez H. and Jaime Velasco{-}Medina and Danilo Ravotto and Edgar E. S{\'{a}}nchez and Matteo Sonza Reorda}, title = {A Hybrid Approach to the Test of Cache Memory Controllers Embedded in SoCs}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.22}, doi = {10.1109/IOLTS.2008.22}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PerezVRSR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PignolPCBE08, author = {Michel Pignol and Thierry Parrain and Vincent Claverie and Christian Bol{\'{e}}at and Guy Estaves}, title = {Development of a Testbench for Validation of {DMT} and {DT2} Fault-Tolerant Architectures on {SOI} PowerPC7448}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {182--184}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.24}, doi = {10.1109/IOLTS.2008.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PignolPCBE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PontarelliCRS08, author = {Salvatore Pontarelli and Gian Carlo Cardarilli and Marco Re and Adelio Salsano}, title = {Totally Fault Tolerant {RNS} Based {FIR} Filters}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {192--194}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.14}, doi = {10.1109/IOLTS.2008.14}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PontarelliCRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PougetDFPLFV08, author = {Vincent Pouget and Alexandre Douin and Gilles Foucard and Paul Peronnard and Dean Lewis and Pascal Fouillat and Raoul Velazco}, title = {Dynamic Testing of an SRAM-Based {FPGA} by Time-Resolved Laser Fault Injection}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {295--301}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.39}, doi = {10.1109/IOLTS.2008.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PougetDFPLFV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ReddyAP08, author = {M. Kiran Kumar Reddy and Bharadwaj S. Amrutur and Rubin A. Parekhji}, title = {False Error Study of On-line Soft Error Detection Mechanisms}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {53--58}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.29}, doi = {10.1109/IOLTS.2008.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ReddyAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RefanASPN08, author = {Fatemeh Refan and Homa Alemzadeh and Saeed Safari and Paolo Prinetto and Zainalabedin Navabi}, title = {Reliability in Application Specific Mesh-Based NoC Architectures}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {207--212}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.53}, doi = {10.1109/IOLTS.2008.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RefanASPN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RichterOG08, author = {Michael Richter and Klaus Oberl{\"{a}}nder and Michael G{\"{o}}ssel}, title = {New Linear {SEC-DED} Codes with Reduced Triple Bit Error Miscorrection Probability}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.27}, doi = {10.1109/IOLTS.2008.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RichterOG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RocheLGDZD08, author = {Philippe Roche and Mark Lysinger and Gilles Gasiot and Jean{-}Marc Daveau and Mehdi Zamanian and Pierre Dautriche}, title = {Growing Interest of Advanced Commercial {CMOS} Technologies for Space and Medical Applications. Illustration with a New Nano-Power and Radiation-Hardened {SRAM} in 130nm {CMOS}}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {46--48}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.60}, doi = {10.1109/IOLTS.2008.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RocheLGDZD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RusuGA08, author = {Claudia Rusu and Cristian Grecu and Lorena Anghel}, title = {Communication Aware Recovery Configurations for Networks-on-Chip}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {201--206}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.44}, doi = {10.1109/IOLTS.2008.44}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RusuGA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RuzickaSP08, author = {Richard Ruzicka and Luk{\'{a}}s Sekanina and Roman Prokop}, title = {Physical Demonstration of Polymorphic Self-Checking Circuits}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.23}, doi = {10.1109/IOLTS.2008.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RuzickaSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SanyalAK08, author = {Alodeep Sanyal and Syed M. Alam and Sandip Kundu}, title = {A Built-In Self-Test Scheme for Soft Error Rate Characterization}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {65--70}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.26}, doi = {10.1109/IOLTS.2008.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SanyalAK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Seifert08, author = {Norbert Seifert}, title = {Special Session 1: Radiation Hardening Techniques}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {43--44}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.66}, doi = {10.1109/IOLTS.2008.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Seifert08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Seifert08a, author = {Norbert Seifert}, title = {Soft Error Rates of Hardened Sequentials utilizing Local Redundancy}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {49--50}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.61}, doi = {10.1109/IOLTS.2008.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Seifert08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SemiaoFRVSTT08, author = {Jorge Semi{\~{a}}o and Judit Freijedo and Juan J. Rodr{\'{\i}}guez{-}Andina and Fabian Vargas and Marcelino B. Santos and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {Exploiting Parametric Power Supply and/or Temperature Variations to Improve Fault Tolerance in Digital Circuits}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {227--232}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.51}, doi = {10.1109/IOLTS.2008.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SemiaoFRVSTT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShafikRA08, author = {Rishad A. Shafik and Paul M. Rosinger and Bashir M. Al{-}Hashimi}, title = {SystemC-Based Minimum Intrusive Fault Injection Technique with Improved Fault Representation}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.25}, doi = {10.1109/IOLTS.2008.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ShafikRA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ShiyanovskiiWP08, author = {Yuriy Shiyanovskii and Francis G. Wolff and Christos A. Papachristou}, title = {{SRAM} Cell Design Protected from {SEU} Upsets}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {169--170}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.49}, doi = {10.1109/IOLTS.2008.49}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ShiyanovskiiWP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SudireddyKK08, author = {Samara Sudireddy and Jayawant Kakade and Dimitri Kagaris}, title = {Deterministic Built-in {TPG} with Segmented FSMs}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.37}, doi = {10.1109/IOLTS.2008.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SudireddyKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/UemuraTTS08, author = {Taiki Uemura and Ryo Tanabe and Yoshiharu Tosaka and Shigeo Satoh}, title = {Using Low Pass Filters in Mitigation Techniques against Single-Event Transients in 45nm Technology LSIs}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {117--122}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.28}, doi = {10.1109/IOLTS.2008.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/UemuraTTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VemuA08, author = {Ramtilak Vemu and Jacob A. Abraham}, title = {Budget-Dependent Control-Flow Error Detection}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.52}, doi = {10.1109/IOLTS.2008.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VemuA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VialBGLPV08, author = {Julien Vial and Alberto Bosio and Patrick Girard and Christian Landrault and Serge Pravossoudovitch and Arnaud Virazel}, title = {Yield Improvement, Fault-Tolerance to the Rescue?}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {165--166}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.10}, doi = {10.1109/IOLTS.2008.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VialBGLPV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WenAP08, author = {Shi{-}Jie Wen and Dan Alexandrescu and Renaud Perez}, title = {A Systematical Method of Quantifying {SEU} {FIT}}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.62}, doi = {10.1109/IOLTS.2008.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WenAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YangCDRP08, author = {Fan Yang and Sreejit Chakravarty and Narendra Devta{-}Prasanna and Sudhakar M. Reddy and Irith Pomeranz}, title = {An Enhanced Logic {BIST} Architecture for Online Testing}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {10--15}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.48}, doi = {10.1109/IOLTS.2008.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/YangCDRP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZajacCN08, author = {Piotr Zajac and Jacques Henri Collet and Andrzej Napieralski}, title = {Self-Configuration and Reachability Metrics in Massively Defective Multiport Chips}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {219--224}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.58}, doi = {10.1109/IOLTS.2008.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZajacCN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhaoC08, author = {Yang Zhao and Krishnendu Chakrabarty}, title = {On-Line Testing of Lab-on-Chip Using Digital Microfluidic Compactors}, booktitle = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IOLTS.2008.45}, doi = {10.1109/IOLTS.2008.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZhaoC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2008, title = {14th {IEEE} International On-Line Testing Symposium {(IOLTS} 2008), 7-9 July 2008, Rhodes, Greece}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4567040/proceeding}, isbn = {978-0-7695-3264-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbellaVUEG07, author = {Jaume Abella and Xavier Vera and Osman S. Unsal and Oguz Ergin and Antonio Gonz{\'{a}}lez}, title = {Fuse: {A} Technique to Anticipate Failures due to Degradation in ALUs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {15--22}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.34}, doi = {10.1109/IOLTS.2007.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbellaVUEG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Al-KhaleelPWP07, author = {Osama Daifallah Al{-}Khaleel and Christos A. Papachristou and Francis G. Wolff and Kiamal Z. Pekmestzi}, title = {An Elliptic Curve Cryptosystem Design Based on {FPGA} Pipeline Folding}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {71--78}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.15}, doi = {10.1109/IOLTS.2007.15}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/Al-KhaleelPWP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ApostolakisPGP07, author = {Andreas Apostolakis and Mihalis Psarakis and Dimitris Gizopoulos and Antonis M. Paschalis}, title = {A Functional Self-Test Approach for Peripheral Cores in Processor-Based SoCs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {271--276}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.7}, doi = {10.1109/IOLTS.2007.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ApostolakisPGP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ArgyridesP07, author = {Costas Argyrides and Dhiraj K. Pradhan}, title = {Highly Reliable Power Aware Memory Design}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {189--190}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.37}, doi = {10.1109/IOLTS.2007.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ArgyridesP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Asenov07, author = {Asen Asenov}, title = {Statistical Device Variability and its Impact on Yield and Performance}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {253}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.64}, doi = {10.1109/IOLTS.2007.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Asenov07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BagatinCGPVBM07, author = {Marta Bagatin and Giorgio Cellere and Simone Gerardin and Alessandro Paccagnella and Angelo Visconti and Silvia Beltrami and M. Maccarrone}, title = {Single Event Effects in 1Gbit 90nm {NAND} Flash Memories under Operating Conditions}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {146--151}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.59}, doi = {10.1109/IOLTS.2007.59}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BagatinCGPVBM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BartzoudisM07, author = {Nikolaos G. Bartzoudis and Klaus D. McDonald{-}Maier}, title = {Online monitoring of FPGA-based co-processing engines embedded in dependable workstations}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.51}, doi = {10.1109/IOLTS.2007.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BartzoudisM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BernardiBR07, author = {Paolo Bernardi and Let{\'{\i}}cia Maria Veiras Bolzani and Matteo Sonza Reorda}, title = {A Hybrid Approach to Fault Detection and Correction in SoCs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {107--112}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.8}, doi = {10.1109/IOLTS.2007.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BernardiBR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BolzaniSSRS07, author = {Let{\'{\i}}cia Maria Veiras Bolzani and Ernesto S{\'{a}}nchez and Massimiliano Schillaci and Matteo Sonza Reorda and Giovanni Squillero}, title = {An Automated Methodology for Cogeneration of Test Blocks for Peripheral Cores}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {265--270}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.14}, doi = {10.1109/IOLTS.2007.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BolzaniSSRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BrandenburgRGKV07, author = {R. Frost and D. Rudolph and Christian Galke and Ren{\'{e}} Kothe and Heinrich Theodor Vierhaus}, title = {A Configurable Modular Test Processor and Scan Controller Architecture}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {277--284}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.6}, doi = {10.1109/IOLTS.2007.6}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BrandenburgRGKV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BuardMRG07, author = {Nadine Buard and Florent Miller and C{\'{e}}dric Ruby and R{\'{e}}mi Gaillard}, title = {Latchup effect in {CMOS} {IC:} a solution for crypto-processors protection against fault injection attacks?}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {63--70}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.42}, doi = {10.1109/IOLTS.2007.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BuardMRG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CanoBGGHCSG07, author = {X. Cano and Sebasti{\`{a}} A. Bota and Ricardo Graciani Diaz and David Gascon and A. Herms and Albert Comerma and Jaume Segura and Llu{\'{\i}}s Garrido}, title = {Heavy Ion Test Results in a {CMOS} triple Voting Register for a High-Energy Physics Experiment}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {183--184}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.36}, doi = {10.1109/IOLTS.2007.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CanoBGGHCSG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ColletZ07, author = {Jacques Henri Collet and Piotr Zajac}, title = {Resilience, Production Yield and Self-Configuration in the Future Massively Defective Nanochips}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {259}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.56}, doi = {10.1109/IOLTS.2007.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ColletZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DalirsaniHN07, author = {Atefe Dalirsani and Mohammad Hosseinabady and Zainalabedin Navabi}, title = {An Analytical Model for Reliability Evaluation of NoC Architectures}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {49--56}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.13}, doi = {10.1109/IOLTS.2007.13}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DalirsaniHN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Derbey07, author = {Mark Derbey}, title = {Soft-Errors Phenomenon Impacts on Design for Reliability Technologies}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {7}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.62}, doi = {10.1109/IOLTS.2007.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Derbey07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FauraxTFB07, author = {Olivier Faurax and Assia Tria and Laurent Freund and Fr{\'{e}}d{\'{e}}ric Bancel}, title = {Robustness of circuits under delay-induced faults : test of {AES} with the {PAFI} tool}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {185--186}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.57}, doi = {10.1109/IOLTS.2007.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FauraxTFB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Flautner07, author = {Kriszti{\'{a}}n Flautner}, title = {Blurring the Layers of Abstractions: Time to Take a Step Back?}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {127}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.22}, doi = {10.1109/IOLTS.2007.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Flautner07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Flautner07a, author = {Kriszti{\'{a}}n Flautner}, title = {Architectural Trade-Offs for Fault Tolerant Multi-Core Systems}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {261}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.19}, doi = {10.1109/IOLTS.2007.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Flautner07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GardinerYB07, author = {K. T. Gardiner and Alexandre Yakovlev and Alexandre V. Bystrov}, title = {A C-element Latch Scheme with Increased Transient Fault Tolerance for Asynchronous Circuits}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {223--230}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.5}, doi = {10.1109/IOLTS.2007.5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GardinerYB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GericotaLAF07, author = {Manuel G. Gericota and Lu{\'{\i}}s F. Lemos and Gustavo R. Alves and Jos{\'{e}} M. Ferreira}, title = {On-Line Self-Healing of Circuits Implemented on Reconfigurable FPGAs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {217--222}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.50}, doi = {10.1109/IOLTS.2007.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GericotaLAF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhoshNBR07, author = {Swaroop Ghosh and Patrick Ndai and Swarup Bhunia and Kaushik Roy}, title = {Tolerance to Small Delay Defects by Adaptive Clock Stretching}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {244--252}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.67}, doi = {10.1109/IOLTS.2007.67}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GhoshNBR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrecuAPIS07, author = {Cristian Grecu and Lorena Anghel and Partha Pratim Pande and Andr{\'{e}} Ivanov and Resve A. Saleh}, title = {Essential Fault-Tolerance Metrics for NoC Infrastructures}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.31}, doi = {10.1109/IOLTS.2007.31}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GrecuAPIS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Heijmen07, author = {Tino Heijmen}, title = {Spread in Alpha-Particle-Induced Soft-Error Rate of 90-nm Embedded SRAMs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.63}, doi = {10.1109/IOLTS.2007.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Heijmen07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HosseinabadyNNBCPN07, author = {Mohammad Hosseinabady and Mohammad Hossein Neishaburi and Zainalabedin Navabi and Alfredo Benso and Stefano Di Carlo and Paolo Prinetto and Giorgio Di Natale}, title = {Analysis of System-Failure Rate Caused by Soft-Errors using a UML-Based Systematic Methodology in an SoC}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {205--206}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.17}, doi = {10.1109/IOLTS.2007.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HosseinabadyNNBCPN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LiobeM07, author = {John C. Liobe and Martin Margala}, title = {Novel Process and Temperature-Stable {BICS} for Embedded Analog and Mixed-Signal Test}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.47}, doi = {10.1109/IOLTS.2007.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LiobeM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Mak07, author = {T. M. Mak}, title = {Infant Mortality--The Lesser Known Reliability Issue}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {122}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.40}, doi = {10.1109/IOLTS.2007.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Mak07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MarianiB07, author = {Riccardo Mariani and Gabriele Boschi}, title = {A systematic approach for Failure Modes and Effects Analysis of System-On-Chips}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {187--188}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.10}, doi = {10.1109/IOLTS.2007.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MarianiB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MathewRP07, author = {Jimson Mathew and Hafizur Rahaman and Dhiraj K. Pradhan}, title = {Efficient Testable Bit Parallel Multipliers over GF(2m) with Constant Test set}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {207--208}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.28}, doi = {10.1109/IOLTS.2007.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MathewRP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Mitra07, author = {Subhasish Mitra}, title = {Circuit Failure Prediction Enables Robust System Design Resilient to Aging and Wearout}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {123}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.23}, doi = {10.1109/IOLTS.2007.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Mitra07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MitraSS07, author = {Subhasish Mitra and Pia N. Sanda and Norbert Seifert}, title = {Soft Errors: Technology Trends, System Effects, and Protection Techniques}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {4}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.61}, doi = {10.1109/IOLTS.2007.61}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/MitraSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MonnetRL07, author = {Yannick Monnet and Marc Renaudin and R{\'{e}}gis Leveugle}, title = {Formal Analysis of Quasi Delay Insensitive Circuits Behavior in the Presence of SEUs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {113--120}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.33}, doi = {10.1109/IOLTS.2007.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MonnetRL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MonteiroPJD07, author = {Fabrice Monteiro and Stanislaw J. Piestrak and Houssein Jaber and Abbas Dandache}, title = {Fault-Secure Interface Between Fault-Tolerant {RAM} and Transmission Channel Using Systematic Cyclic Codes}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {199--200}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.32}, doi = {10.1109/IOLTS.2007.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MonteiroPJD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NataleFR07, author = {Giorgio Di Natale and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {An On-Line Fault Detection Scheme for SBoxes in Secure Circuits}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.16}, doi = {10.1109/IOLTS.2007.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NataleFR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Nicolaidis07, author = {Michael Nicolaidis}, title = {{GRAAL:} {A} Fault-Tolerant Architecture for Enabling Nanometric Technologies}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {255}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.35}, doi = {10.1109/IOLTS.2007.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Nicolaidis07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NisarAC07, author = {Muhammad Mudassar Nisar and Maryam Ashouei and Abhijit Chatterjee}, title = {Probabilistic Concurrent Error Compensation in Nonlinear Digital Filters Using Linearized Checksums}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {173--182}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.53}, doi = {10.1109/IOLTS.2007.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NisarAC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PandeGFG07, author = {Partha Pratim Pande and Amlan Ganguly and Brett Feero and Cristian Grecu}, title = {Applicability of Energy Efficient Coding Methodology to Address Signal Integrity in 3D NoC Fabrics}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {161--166}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.18}, doi = {10.1109/IOLTS.2007.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PandeGFG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Pandini07, author = {Davide Pandini}, title = {Innovative Design Platforms for Reliable SoCs in Advanced Nanometer Technologies}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {254}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.41}, doi = {10.1109/IOLTS.2007.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Pandini07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PapanikolaouWMC07, author = {Antonis Papanikolaou and Hua Wang and Miguel Miranda and Francky Catthoor}, title = {Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {121}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.55}, doi = {10.1109/IOLTS.2007.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PapanikolaouWMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PattabiramanKI07, author = {Karthik Pattabiraman and Zbigniew Kalbarczyk and Ravishankar K. Iyer}, title = {Automated Derivation of Application-aware Error Detectors using Static Analysis}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.21}, doi = {10.1109/IOLTS.2007.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PattabiramanKI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PaulCB07, author = {Somnath Paul and Rajat Subhra Chakraborty and Swarup Bhunia}, title = {Defect-Aware Configurable Computing in Nanoscale Crossbar for Improved Yield}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {29--36}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.25}, doi = {10.1109/IOLTS.2007.25}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PaulCB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Pignol07, author = {Michel Pignol}, title = {Methodology and Tools Developed for Validation of COTS-based Fault-Tolerant Spacecraft Supercomputers}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {85--92}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.45}, doi = {10.1109/IOLTS.2007.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Pignol07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PolianNB07, author = {Ilia Polian and Damian Nowroth and Bernd Becker}, title = {Identification of Critical Errors in Imaging Applications}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {201--202}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.38}, doi = {10.1109/IOLTS.2007.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/PolianNB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/PontarelliSCRRSV07, author = {Salvatore Pontarelli and Luca Sterpone and Gian Carlo Cardarilli and Marco Re and Matteo Sonza Reorda and Adelio Salsano and Massimo Violante}, title = {Self Checking Circuit Optimization by means of Fault Injection Analysis: {A} Case Study on Reed Solomon Decoders}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {194--196}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.58}, doi = {10.1109/IOLTS.2007.58}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/PontarelliSCRRSV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Portela-GarciaLGE07, author = {Marta Portela{-}Garc{\'{\i}}a and Celia L{\'{o}}pez{-}Ongil and Mario Garc{\'{\i}}a{-}Valderas and Luis Entrena}, title = {A Rapid Fault Injection Approach for Measuring {SEU} Sensitivity in Complex Processors}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.9}, doi = {10.1109/IOLTS.2007.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Portela-GarciaLGE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RossiAM07, author = {Daniele Rossi and Paolo Angelini and Cecilia Metra}, title = {Configurable Error Control Scheme for NoC Signal Integrity}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.24}, doi = {10.1109/IOLTS.2007.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RossiAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RuckerbauerG07, author = {Franz X. Ruckerbauer and Georg Georgakos}, title = {Soft Error Rates in 65nm SRAMs--Analysis of new Phenomena}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {203--204}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.60}, doi = {10.1109/IOLTS.2007.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RuckerbauerG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RusuBAWBBRHWCG07, author = {Claudia Rusu and Antonin Bougerol and Lorena Anghel and C{\'{e}}cile Weulersse and Nadine Buard and S. Benhammadi and Nicolas Renaud and Guillaume Hubert and Frederic Wrobel and Thierry Carri{\`{e}}re and R{\'{e}}mi Gaillard}, title = {Multiple Event Transient Induced by Nuclear Reactions in {CMOS} Logic Cells}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {137--145}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.46}, doi = {10.1109/IOLTS.2007.46}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RusuBAWBBRHWCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SanyalGK07, author = {Alodeep Sanyal and Kunal P. Ganeshpure and Sandip Kundu}, title = {Accelerating Soft Error Rate Testing Through Pattern Selection}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {191--193}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.11}, doi = {10.1109/IOLTS.2007.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SanyalGK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SanyalK07, author = {Alodeep Sanyal and Sandip Kundu}, title = {On Derating Soft Error Probability Based on Strength Filtering}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {152--160}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.48}, doi = {10.1109/IOLTS.2007.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SanyalK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SemiaoFRVSTT07, author = {Jorge Semi{\~{a}}o and Judit Freijedo and Juan J. Rodr{\'{\i}}guez{-}Andina and Fabian Vargas and Marcelino B. Santos and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {On-line Dynamic Delay Insertion to Improve Signal Integrity in Synchronous Circuits}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.49}, doi = {10.1109/IOLTS.2007.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SemiaoFRVSTT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SimeuMKN07, author = {Emmanuel Simeu and Salvador Mir and R. Kherreddine and Hoang Nam Nguyen}, title = {Envelope Detection Based Transition Time Supervision for Online Testing of {RF} {MEMS} Switches}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {237--243}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.30}, doi = {10.1109/IOLTS.2007.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SimeuMKN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Taneja07, author = {Sanjiv Taneja}, title = {Accelerating Yield Ramp through Real-Time Testing}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {11}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.12}, doi = {10.1109/IOLTS.2007.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Taneja07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Tarnick07, author = {Steffen Tarnick}, title = {Design of Embedded m-out-of-n Code Checkers Using Complete Parallel Counters}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {285--292}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.27}, doi = {10.1109/IOLTS.2007.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Tarnick07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/UdarK07, author = {Snehal Udar and Dimitri Kagaris}, title = {{LFSR} Reseeding with Irreducible Polynomials}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {293--298}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.43}, doi = {10.1109/IOLTS.2007.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/UdarK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VargasPBAM07, author = {Fabian Vargas and Leonardo Piccoli and Juliano Benfica and Antonio A. de Alecrim Jr. and Marlon Moraes}, title = {Time-Sensitive Control-Flow Checking for Multitask Operating System-Based SoCs}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {93--100}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.66}, doi = {10.1109/IOLTS.2007.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VargasPBAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VeraA07, author = {Xavier Vera and Jaume Abella}, title = {Surviving to Errors in Multi-Core Environments}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {260}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.65}, doi = {10.1109/IOLTS.2007.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VeraA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Voyiatzis07, author = {Ioannis Voyiatzis}, title = {Embedding test patterns into Low-Power {BIST} sequences}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {197--198}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.29}, doi = {10.1109/IOLTS.2007.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Voyiatzis07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhangMTKSL07, author = {Ming Zhang and T. M. Mak and James W. Tschanz and Kee Sup Kim and Norbert Seifert and Davia Lu}, title = {Design for Resilience to Soft Errors and Variations}, booktitle = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, pages = {23--28}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IOLTS.2007.26}, doi = {10.1109/IOLTS.2007.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZhangMTKSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2007, title = {13th {IEEE} International On-Line Testing Symposium {(IOLTS} 2007), 8-11 July 2007, Heraklion, Crete, Greece}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4274802/proceeding}, isbn = {0-7695-2918-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Abadir06, author = {Magdy S. Abadir}, title = {Floorplanning and Thermal Impact on Leakage Power and Proper Operation of Complex {SOC} Designs}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {81}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.39}, doi = {10.1109/IOLTS.2006.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Abadir06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Aitken06, author = {Robert C. Aitken}, title = {Reliability Issues for Embedded {SRAM} at 90nm and Below}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {75}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.54}, doi = {10.1109/IOLTS.2006.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Aitken06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AnghelNB06, author = {Lorena Anghel and Michael Nicolaidis and Nadine Buard}, title = {From Nuclear Reaction to System Failures: Can We Address All Levels of Soft Errors Accurately?}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {85}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.40}, doi = {10.1109/IOLTS.2006.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AnghelNB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BastosKR06, author = {Rodrigo Possamai Bastos and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Design of a Robust 8-Bit Microprocessor to Soft Errors}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {195--196}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.21}, doi = {10.1109/IOLTS.2006.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BastosKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Berg06, author = {Melanie Berg}, title = {Fault Tolerance Implementation within {SRAM} Based {FPGA} Design Based upon the Increased Level of Single Event Upset Susceptibility}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {89--91}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.36}, doi = {10.1109/IOLTS.2006.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Berg06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BreveglieriMK06, author = {Luca Breveglieri and Paolo Maistri and Israel Koren}, title = {A Note on Error Detection in an {RSA} Architecture by Means of Residue Codes}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {176--177}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.8}, doi = {10.1109/IOLTS.2006.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BreveglieriMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CardarilliOPRS06, author = {Gian Carlo Cardarilli and Marco Ottavi and Salvatore Pontarelli and Marco Re and Adelio Salsano}, title = {Localization of Faults in Radix-n Signed Digit Adders}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {178--180}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.42}, doi = {10.1109/IOLTS.2006.42}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CardarilliOPRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CasselK06, author = {Maico Cassel and Fernanda Lima Kastensmidt}, title = {Evaluating One-Hot Encoding Finite State Machines for {SEU} Reliability in SRAM-based FPGAs}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.32}, doi = {10.1109/IOLTS.2006.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CasselK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CellerePVB06, author = {G. Cellere and Alessandro Paccagnella and Angelo Visconti and Mauro Bonanomi}, title = {Erratic Effects of Irradiation in Floating Gate Memory Cells}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {51--56}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.30}, doi = {10.1109/IOLTS.2006.30}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CellerePVB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ColletZCN06, author = {Jacques Henri Collet and Piotr Zajac and Yves Crouzet and Andrzej Napieralski}, title = {Contribution of Communications to Dependability in Massively-Defective General-Purpose Nanoarchitectures}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {219--228}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.18}, doi = {10.1109/IOLTS.2006.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ColletZCN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Coppola06, author = {Marcello Coppola}, title = {Trends and Trade-offs in Designing Highly Robust Throughput on Chip Communication Network}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {80}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.64}, doi = {10.1109/IOLTS.2006.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Coppola06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Cuomo06, author = {Andrea Cuomo}, title = {The Challenge of Reliability in Future Complex Systems}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.59}, doi = {10.1109/IOLTS.2006.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Cuomo06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DrozdLD06, author = {Alexander V. Drozd and M. V. Lobachev and Julia V. Drozd}, title = {The Problem of On-Line Testing Methods In Approximate Data Processing}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {251--256}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.61}, doi = {10.1109/IOLTS.2006.61}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DrozdLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FidalgoAF06, author = {Andr{\'{e}} V. Fidalgo and Gustavo R. Alves and Jos{\'{e}} M. Ferreira}, title = {Real Time Fault Injection Using a Modified Debugging Infrastructure}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {242--250}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.53}, doi = {10.1109/IOLTS.2006.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FidalgoAF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FrantzCCK06, author = {Arthur Pereira Frantz and Luigi Carro and {\'{E}}rika F. Cota and Fernanda Lima Kastensmidt}, title = {Evaluating {SEU} and Crosstalk Effects in Network-on-Chip Routers}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {191--192}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.33}, doi = {10.1109/IOLTS.2006.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FrantzCCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GalkeKSWHV06, author = {Christian Galke and Ren{\'{e}} Kothe and Sabine Schultke and K. Winkler and Jeanette Honko and Heinrich Theodor Vierhaus}, title = {Embedded Scan Test with Diagnostic Features for Self-Testing SoCs}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {181--182}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.28}, doi = {10.1109/IOLTS.2006.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GalkeKSWHV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Garcia-ValderasPLE06, author = {Mario Garc{\'{\i}}a{-}Valderas and Marta Portela{-}Garc{\'{\i}}a and Celia L{\'{o}}pez{-}Ongil and Luis Entrena}, title = {Emulation-based Fault Injection in Circuits with Embedded Memories}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {183--184}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.29}, doi = {10.1109/IOLTS.2006.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Garcia-ValderasPLE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GhoshBRR06, author = {Swaroop Ghosh and Swarup Bhunia and Arijit Raychowdhury and Kaushik Roy}, title = {Delay Fault Localization in Test-Per-Scan {BIST} Using Built-In Delay Sensor}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.19}, doi = {10.1109/IOLTS.2006.19}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GhoshBRR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GrecuISSP06, author = {Cristian Grecu and Andr{\'{e}} Ivanov and Res Saleh and Egor S. Sogomonyan and Partha Pratim Pande}, title = {On-line Fault Detection and Location for NoC Interconnects}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.44}, doi = {10.1109/IOLTS.2006.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GrecuISSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HabermannKV06, author = {S. Habermann and Ren{\'{e}} Kothe and Heinrich Theodor Vierhaus}, title = {Built-in Self Repair by Reconfiguration of FPGAs}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {187--188}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.13}, doi = {10.1109/IOLTS.2006.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HabermannKV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Heijmen06, author = {Tino Heijmen}, title = {Soft Error Rates in Deep-Submicron {CMOS} Technologies}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {271}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.57}, doi = {10.1109/IOLTS.2006.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Heijmen06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HeijmenGR06, author = {Tino Heijmen and Damien Giot and Philippe Roche}, title = {Factors That Impact the Critical Charge of Memory Elements}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.35}, doi = {10.1109/IOLTS.2006.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HeijmenGR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HelyBFR06, author = {David H{\'{e}}ly and Fr{\'{e}}d{\'{e}}ric Bancel and Marie{-}Lise Flottes and Bruno Rouzeyre}, title = {Secure Scan Techniques: {A} Comparison}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.55}, doi = {10.1109/IOLTS.2006.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HelyBFR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HubertBMBACWG06, author = {Guillaume Hubert and Antonin Bougerol and Florent Miller and Nadine Buard and Lorena Anghel and Thierry Carri{\`{e}}re and Frederic Wrobel and R{\'{e}}mi Gaillard}, title = {Prediction of Transient Induced by Neutron/Proton in {CMOS} Combinational Logic Cells}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {63--74}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.51}, doi = {10.1109/IOLTS.2006.51}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/HubertBMBACWG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KenterlisKPGP06, author = {P. Kenterlis and Nektarios Kranitis and Antonis M. Paschalis and Dimitris Gizopoulos and Mihalis Psarakis}, title = {A Low-Cost {SEU} Fault Emulation Platform for SRAM-Based FPGAs}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {235--241}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.5}, doi = {10.1109/IOLTS.2006.5}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KenterlisKPGP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KoppadSBY06, author = {Deepali Koppad and Danil Sokolov and Alexandre V. Bystrov and Alexandre Yakovlev}, title = {Online Testing by Protocol Decomposition}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {263--268}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.45}, doi = {10.1109/IOLTS.2006.45}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/KoppadSBY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KubalikFK06, author = {Pavel Kubal{\'{\i}}k and Petr Fiser and Hana Kub{\'{a}}tov{\'{a}}}, title = {Fault Tolerant System Design Method Based on Self-Checking Circuits}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {185--186}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.37}, doi = {10.1109/IOLTS.2006.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KubalikFK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KulikowskiKT06, author = {Konrad J. Kulikowski and Mark G. Karpovsky and Alexander Taubin}, title = {Power Attacks on Secure Hardware Based on Early Propagation of Data}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {131--138}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.49}, doi = {10.1109/IOLTS.2006.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KulikowskiKT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KunduP06, author = {Sandip Kundu and Ilia Polian}, title = {An Improved Technique for Reducing False Alarms Due to Soft Errors}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.10}, doi = {10.1109/IOLTS.2006.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KunduP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LazzariRA06, author = {Cristiano Lazzari and Ricardo A. L. Reis and Lorena Anghel}, title = {Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis: {A} Case Study}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {165--172}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.48}, doi = {10.1109/IOLTS.2006.48}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LazzariRA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LeroyPMDRM06, author = {Damien Leroy and Stanislaw J. Piestrak and Fabrice Monteiro and Abbas Dandache and St{\'{e}}phane Rossignol and Pascal Moitrel}, title = {Characterizing Laser-Induced Pulses in ICs: Methodology and Results}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {11--16}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.15}, doi = {10.1109/IOLTS.2006.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LeroyPMDRM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Levendel06, author = {Isaac Levendel}, title = {The Consequences of Variability in Software}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {82}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.60}, doi = {10.1109/IOLTS.2006.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Levendel06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Mak06, author = {T. M. Mak}, title = {Test Challenges for 3D Circuits}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {79}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.58}, doi = {10.1109/IOLTS.2006.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Mak06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MakM06, author = {T. M. Mak and Subhasish Mitra}, title = {Should Logic {SER} be Solved at the Circuit Level?}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {199}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.56}, doi = {10.1109/IOLTS.2006.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MakM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MarianiFV06, author = {Riccardo Mariani and Peter Fuhrmann and Boris Vittorelli}, title = {Fault-Robust Microcontrollers for Automotive Applications}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.38}, doi = {10.1109/IOLTS.2006.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MarianiFV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MarienfeldSOG06, author = {Daniel Marienfeld and Egor S. Sogomonyan and Vitalij Ocheretnij and Michael G{\"{o}}ssel}, title = {A New Self-Checking and Code-Disjoint Non-Restoring Array Divider}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {23--30}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.7}, doi = {10.1109/IOLTS.2006.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MarienfeldSOG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MetraORCM06, author = {Cecilia Metra and Martin Oma{\~{n}}a and Daniele Rossi and Jos{\'{e}} Manuel Cazeaux and T. M. Mak}, title = {Path (Min) Delay Faults and Their Impact on Self-Checking Circuits' Operation}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {17--22}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.47}, doi = {10.1109/IOLTS.2006.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MetraORCM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/MonnetRLFMN06, author = {Yannick Monnet and Marc Renaudin and R{\'{e}}gis Leveugle and Nathalie Feyt and Pascal Moitrel and F. M'Buwa Nzenguet}, title = {Practical Evaluation of Fault Countermeasures on an Asynchronous {DES} Crypto Processor}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.50}, doi = {10.1109/IOLTS.2006.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/MonnetRLFMN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NatarajanSC06, author = {Vishwanath Natarajan and Ganesh Srinivasan and Abhijit Chatterjee}, title = {On-Line Error Detection in Wireless {RF} Transmitters Using Real-time Streaming Data}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {159--164}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.43}, doi = {10.1109/IOLTS.2006.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NatarajanSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NeophytouMT06, author = {Stelios Neophytou and Maria K. Michael and Spyros Tragoudas}, title = {Efficient Deterministic Test Generation for {BIST} Schemes with {LFSR} Reseeding}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {43--50}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.26}, doi = {10.1109/IOLTS.2006.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NeophytouMT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Nicolaidis06, author = {Michael Nicolaidis}, title = {A Low-Cost Single-Event Latchup Mitigation Sscheme}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {111--118}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.6}, doi = {10.1109/IOLTS.2006.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Nicolaidis06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NieuwlandJJ06, author = {Andr{\'{e}} K. Nieuwland and Samir Jasarevic and Goran Jerin}, title = {Combinational Logic Soft Error Analysis and Protection}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.17}, doi = {10.1109/IOLTS.2006.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NieuwlandJJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/NikolosKG06, author = {Dimitris Nikolos and Dimitrios Kagaris and Spyros Gidaros}, title = {Diophantine-Equation Based Arithmetic Test Set Embedding}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {193--194}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.23}, doi = {10.1109/IOLTS.2006.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/NikolosKG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/OikonomakosF06, author = {Petros Oikonomakos and Paul Fox}, title = {Error Correction in Arithmetic Operations by {I/O} Inversion}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {287--292}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.31}, doi = {10.1109/IOLTS.2006.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/OikonomakosF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Pignol06, author = {Michel Pignol}, title = {{DMT} and {DT2:} Two Fault-Tolerant Architectures developed by {CNES} for COTs-based Spacecraft Supercomputers}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {203--212}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.24}, doi = {10.1109/IOLTS.2006.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Pignol06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Rajsuman06, author = {Rochit Rajsuman}, title = {Towards The Methodology of On-line Diagnosis}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {76}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.62}, doi = {10.1109/IOLTS.2006.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Rajsuman06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RenaudinM06, author = {Marc Renaudin and Yannick Monnet}, title = {Asynchronous Design: Fault Robustness and Security Characteristics}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {92--95}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.11}, doi = {10.1109/IOLTS.2006.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RenaudinM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ReordaV06, author = {Matteo Sonza Reorda and Massimo Violante}, title = {Hardware-in-the-Loop-Based Dependability Analysis of Automotive Systems}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {229--234}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.41}, doi = {10.1109/IOLTS.2006.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ReordaV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Rodriguez-IragoAVSTT06, author = {Marcial Jes{\'{u}}s Rodr{\'{\i}}guez{-}Irago and Juan J. Rodr{\'{\i}}guez{-}Andina and Fabian Vargas and Jorge Semi{\~{a}}o and Isabel C. Teixeira and Jo{\~{a}}o Paulo Teixeira}, title = {Dynamic Fault Detection in Digital Systems Using Dynamic Voltage Scaling and Multi-Temperature Schemes}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {257--262}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.25}, doi = {10.1109/IOLTS.2006.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Rodriguez-IragoAVSTT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RossiOMP06, author = {Daniele Rossi and Martin Oma{\~{n}}a and Cecilia Metra and Andrea Pagni}, title = {Checker No-Harm Alarm Robustness}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.16}, doi = {10.1109/IOLTS.2006.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RossiOMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Schindlbeck06, author = {G{\"{u}}nter Schindlbeck}, title = {Trend in {DRAM} Soft Errors}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {272}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.63}, doi = {10.1109/IOLTS.2006.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Schindlbeck06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Seifert06, author = {Norbert Seifert}, title = {Extending Moore's Law into the next Decade - the {SER} Challenge}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {7}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.34}, doi = {10.1109/IOLTS.2006.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Seifert06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SterponeV06, author = {Luca Sterpone and Massimo Violante}, title = {Dependability Evaluation of Transient Fault Effects in Reconfigurable Compute Fabric Devices}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {189--190}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.20}, doi = {10.1109/IOLTS.2006.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SterponeV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Tarnick06, author = {Steffen Tarnick}, title = {Embedded Borden 2-UED Code Checkers}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {173--175}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.27}, doi = {10.1109/IOLTS.2006.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Tarnick06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/VemuA06, author = {Ramtilak Vemu and Jacob A. Abraham}, title = {{CEDA:} Control-flow Error Detection through Assertions}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {151--158}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.14}, doi = {10.1109/IOLTS.2006.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/VemuA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/WormTI06, author = {Frederic Worm and Patrick Thiran and Paolo Ienne}, title = {Designing Robust Checkers in the Presence of Massive Timing Errors}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {281--286}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.22}, doi = {10.1109/IOLTS.2006.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/WormTI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/YuRP06, author = {Chaowen Yu and Sudhakar M. Reddy and Irith Pomeranz}, title = {A Partitioning Technique for Identification of Error-Capturing Scan Cells in Scan-BIST}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.9}, doi = {10.1109/IOLTS.2006.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/YuRP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iolts/2006, title = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11010/proceeding}, isbn = {0-7695-2620-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AitkenH05, author = {Robert C. Aitken and Betina Hold}, title = {Modeling Soft-Error Susceptibility for {IP} Blocks}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {70--73}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.44}, doi = {10.1109/IOLTS.2005.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AitkenH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlderighiCCDMPPS05, author = {Monica Alderighi and A. Candelori and Fabio Casini and Sergio D'Angelo and Marcello Mancini and Alessandro Paccagnella and Sandro Pastore and Giacomo R. Sechi}, title = {Heavy Ion Effects on Configuration Logic of Virtex FPGAs}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {49--53}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.31}, doi = {10.1109/IOLTS.2005.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlderighiCCDMPPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AlordaBS05, author = {Bartomeu Alorda and Sebasti{\`{a}} A. Bota and Jaume Segura}, title = {A Non-Intrusive Built-In Sensor for Transient Current Testing of Digital {VLSI} Circuits}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {177--182}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.9}, doi = {10.1109/IOLTS.2005.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AlordaBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AnghelLV05, author = {Lorena Anghel and R{\'{e}}gis Leveugle and Pierre Vanhauwaert}, title = {Evaluation of {SET} and {SEU} Effects at Multiple Abstraction Levels}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {309--312}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.28}, doi = {10.1109/IOLTS.2005.28}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AnghelLV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AnghelN05, author = {Lorena Anghel and Michael Nicolaidis}, title = {Simulation and Mitigation of Single Event Effects}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {81}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.65}, doi = {10.1109/IOLTS.2005.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AnghelN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BatinaMV05, author = {Lejla Batina and Nele Mentens and Ingrid Verbauwhede}, title = {Side-Channel Issues for Designing Secure Hardware Implementations}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {118--121}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.64}, doi = {10.1109/IOLTS.2005.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BatinaMV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BoleatC05, author = {Christian Bol{\'{e}}at and Gerard Colas}, title = {Overview of Soft Errors Issues in Aerospace Systems}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {299--302}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.55}, doi = {10.1109/IOLTS.2005.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BoleatC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CardarilliPRS05, author = {Gian Carlo Cardarilli and Salvatore Pontarelli and Marco Re and Adelio Salsano}, title = {Design of a Self Checking Reed Solomon Encoder}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {201--202}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.21}, doi = {10.1109/IOLTS.2005.21}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/CardarilliPRS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CazeauxROMC05, author = {Jos{\'{e}} Manuel Cazeaux and Daniele Rossi and Martin Oma{\~{n}}a and Cecilia Metra and Abhijit Chatterjee}, title = {On Transistor Level Gate Sizing for Increased Robustness to Transient Faults}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {23--28}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.49}, doi = {10.1109/IOLTS.2005.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CazeauxROMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChenMMR05, author = {Qikai Chen and Saibal Mukhopadhyay and Hamid Mahmoodi and Kaushik Roy}, title = {Process Variation Tolerant Online Current Monitor for Robust Systems}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {171--176}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.57}, doi = {10.1109/IOLTS.2005.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChenMMR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CrouzetCA05, author = {Yves Crouzet and Jacques Henri Collet and Jean Arlat}, title = {Mitigating Soft Errors to Prevent a Hard Threat to Dependable Computing}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {295--298}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.42}, doi = {10.1109/IOLTS.2005.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CrouzetCA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DattaMBR05, author = {Animesh Datta and Saibal Mukhopadhyay and Swarup Bhunia and Kaushik Roy}, title = {Yield Prediction of High Performance Pipelined Circuit with Respect to Delay Failures in Sub-100nm Technology}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.71}, doi = {10.1109/IOLTS.2005.71}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DattaMBR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DhillonDCM05, author = {Yuvraj Singh Dhillon and Abdulkadir Utku Diril and Abhijit Chatterjee and Cecilia Metra}, title = {Load and Logic Co-Optimization for Design of Soft-Error Resistant Nanometer {CMOS} Circuits}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.41}, doi = {10.1109/IOLTS.2005.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DhillonDCM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DouinPLFP05, author = {Alexandre Douin and Vincent Pouget and Dean Lewis and Pascal Fouillat and Philippe Perdu}, title = {Electrical Modeling for Laser Testing with Different Pulse Durations}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {9--13}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.27}, doi = {10.1109/IOLTS.2005.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/DouinPLFP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GawkowskiSR05, author = {Piotr Gawkowski and Janusz Sosnowski and B. Radko}, title = {Analyzing the Effectiveness of Fault Hardening Procedures}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {14--19}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.16}, doi = {10.1109/IOLTS.2005.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/GawkowskiSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/GillNP05, author = {Balkaran S. Gill and Michael Nicolaidis and Christos A. Papachristou}, title = {Radiation Induced Single-Word Multiple-Bit Upsets Correction in {SRAM}}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {266--271}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.59}, doi = {10.1109/IOLTS.2005.59}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/GillNP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HanABCE05, author = {Donghoon Han and Selim Sermet Akbay and Soumendu Bhattacharya and Abhijit Chatterjee and William R. Eisenstadt}, title = {On-Chip Self-Calibration of {RF} Circuits Using Specification-Driven Built-In Self Test {(S-BIST)}}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {106--111}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.50}, doi = {10.1109/IOLTS.2005.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HanABCE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Heijmen05, author = {Tino Heijmen}, title = {Analytical Semi-Empirical Model for {SER} Sensitivity Estimation of Deep-Submicron {CMOS} Circuits}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.15}, doi = {10.1109/IOLTS.2005.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Heijmen05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/HubertBWCPPLBWSG05, author = {Guillaume Hubert and Nadine Buard and C{\'{e}}cile Weulersse and Thierry Carri{\`{e}}re and Marie{-}Catherine Palau and Jean{-}Marie Palau and Damien Lambert and Jacques Baggio and Frederic Wrobel and Fr{\'{e}}d{\'{e}}ric Saign{\'{e}} and R{\'{e}}mi Gaillard}, title = {A Review of {DASIE} Code Family: Contribution to {SEU/MBU} Understanding}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {87--94}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.12}, doi = {10.1109/IOLTS.2005.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/HubertBWCPPLBWSG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KatohDI05, author = {Kentaroh Katoh and Abderrahim Doumar and Hideo Ito}, title = {Design of On-Line Testing for SoC with {IEEE} {P1500} Compliant Cores Using Reconfigurable Hardware and Scan Shift}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {203--204}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.22}, doi = {10.1109/IOLTS.2005.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KatohDI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KimHKBR05, author = {Chris H. Kim and Steven Hsu and Ram Krishnamurthy and Shekhar Borkar and Kaushik Roy}, title = {Self Calibrating Circuit Design for Variation Tolerant {VLSI} Systems}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {100--105}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.63}, doi = {10.1109/IOLTS.2005.63}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KimHKBR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KotheGV05, author = {Ren{\'{e}} Kothe and Christian Galke and Heinrich Theodor Vierhaus}, title = {A Multi-Purpose Concept for SoC Self Test Including Diagnostic Features}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {241--246}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.7}, doi = {10.1109/IOLTS.2005.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KotheGV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Krasniewski05, author = {Andrzej Krasniewski}, title = {A Pragmatic Approach to Concurrent Error Detection in Sequential Circuits Implemented Using FPGAs with Embedded Memory}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {197--198}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.11}, doi = {10.1109/IOLTS.2005.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Krasniewski05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LazzariAR05, author = {Cristiano Lazzari and Lorena Anghel and Ricardo A. L. Reis}, title = {On Implementing a Soft Error Hardening Technique by Using an Automatic Layout Generator: Case Study}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {29--34}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.45}, doi = {10.1109/IOLTS.2005.45}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/LazzariAR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/Lemarechal05, author = {Antoine Lemarechal}, title = {Introduction to Fault Attacks on Smartcard}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {116}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.39}, doi = {10.1109/IOLTS.2005.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/Lemarechal05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LeroyPMD05, author = {Damien Leroy and Stanislaw J. Piestrak and Fabrice Monteiro and Abbas Dandache}, title = {Modeling of Transients Caused by a Laser Attack on Smart Cards}, booktitle = {11th {IEEE} International On-Line Testing Symposium {(IOLTS} 2005), 6-8 July 2005, Saint Raphael, France}, pages = {193--194}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IOLTS.2005.43}, doi = {10.1109/IOLTS.2005.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LeroyPMD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.