![](https://dblp.uni-trier.de./img/logo.ua.320x120.png)
![](https://dblp.uni-trier.de./img/dropdown.dark.16x16.png)
![](https://dblp.uni-trier.de./img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.uni-trier.de./img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de./img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "stream:conf/ats:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/ats/AouichiYFRKMCTH23, author = {Ahmed Aouichi and Sicong Yuan and Moritz Fieback and Siddharth Rao and Woojin Kim and Erik Jan Marinissen and Sebastien Couet and Mottaqiallah Taouil and Said Hamdioui}, title = {Device Aware Diagnosis for Unique Defects in STT-MRAMs}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317952}, doi = {10.1109/ATS59501.2023.10317952}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AouichiYFRKMCTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BellarminoCHKSS23, author = {Nicol{\`{o}} Bellarmino and Riccardo Cantoro and Martin Huch and Tobias Kilian and Ulf Schlichtmann and Giovanni Squillero}, title = {Enabling Inter-Product Transfer Learning on {MCU} Performance Screening}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317992}, doi = {10.1109/ATS59501.2023.10317992}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BellarminoCHKSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CaiOWWY23, author = {Shuo Cai and Jiangbiao Ouyang and Yan Wen and Weizheng Wang and Fei Yu}, title = {A Low-Delay Quadruple-Node-Upset Self-Recoverable Latch Design}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317975}, doi = {10.1109/ATS59501.2023.10317975}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CaiOWWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaoWTYLYL23, author = {Zhiteng Chao and Senlin Wang and Pengyu Tian and Shuwen Yuan and Huawei Li and Jing Ye and Xiaowei Li}, title = {A Distributed {ATPG} System Combining Test Compaction Based on Pure MaxSAT}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317948}, doi = {10.1109/ATS59501.2023.10317948}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChaoWTYLYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenHXSXGZ23, author = {Xin Chen and Liangzhou Huo and Yudong Xie and Zhihao Shen and Zhiqiang Xiang and Changhao Gao and Ying Zhang}, title = {FPGA-Based Cross-Hardware {MBU} Emulation Platform for Layout-Level Digital {VLSI}}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317974}, doi = {10.1109/ATS59501.2023.10317974}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenHXSXGZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenZCDP23, author = {Li{-}Wei Chen and Xianyue Zhao and Ziang Chen and Nan Du and Ilia Polian}, title = {Side-channel Attacks on Memristive Circuits Under External Disturbances}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317969}, doi = {10.1109/ATS59501.2023.10317969}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenZCDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengZHCLL23, author = {Yue Cheng and Hongji Zou and Jiayu He and Chen Chen and Tun Li and Han Long}, title = {MMFuzz: Towards Enhancing {RTL} Fuzz Testing Using Metric Feedbacks Based on Markov Chain}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317973}, doi = {10.1109/ATS59501.2023.10317973}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengZHCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CuiYCZ23, author = {Jinhua Cui and Yiyun Yin and Zhiping Cai and Jiliang Zhang}, title = {A Comparison Study of the Compatibility Approaches for {SGX} Enclaves}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317965}, doi = {10.1109/ATS59501.2023.10317965}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CuiYCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeligiannisFGCBR23, author = {Nikolaos Ioannis Deligiannis and Tobias Faller and Iacopo Guglielminetti and Riccardo Cantoro and Bernd Becker and Matteo Sonza Reorda}, title = {Automatic Identification of Functionally Untestable Cell-Aware Faults in Microprocessors}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317988}, doi = {10.1109/ATS59501.2023.10317988}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeligiannisFGCBR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GaoWZHDZ23, author = {Jiaxing Gao and Baohua Wang and Yin Zhang and Yu Huang and Xiaotian Ding and Weiming Zhang}, title = {Improve Volume Physical-Aware Diagnosis via Active Pattern Sampling}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317996}, doi = {10.1109/ATS59501.2023.10317996}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GaoWZHDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HeLC23, author = {Weiyang He and Zizhen Liu and Chip{-}Hong Chang}, title = {An Empirical Study of the Inherent Resistance of Knowledge Distillation Based Federated Learning to Targeted Poisoning Attacks}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317993}, doi = {10.1109/ATS59501.2023.10317993}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HeLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JainK23, author = {Anshul Jain and Binod Kumar}, title = {A Case Study on Formally Verifying an Open-source Deep Learning Accelerator Design}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317981}, doi = {10.1109/ATS59501.2023.10317981}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JainK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KangGSZLXW23, author = {Shuting Kang and Heng Guo and Peng Su and Lijun Zhang and Guangzhen Liu and Yunzhi Xue and Yanjun Wu}, title = {{ECSAS:} Exploring Critical Scenarios from Action Sequence in Autonomous Driving}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317968}, doi = {10.1109/ATS59501.2023.10317968}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/KangGSZLXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KohanRHW23, author = {Somayeh Sadeghi Kohan and Jan Dennis Reimer and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Optimizing the Streaming of Sensor Data with Approximate Communication}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317958}, doi = {10.1109/ATS59501.2023.10317958}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KohanRHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KonganapalleSS23, author = {Gowthami Konganapalle and Sonali Shukla and Virendra Singh}, title = {SMASh: {A} State Encoding Methodology Against Attacks on Finite State Machines}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318015}, doi = {10.1109/ATS59501.2023.10318015}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KonganapalleSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KozumaWII23, author = {Tamaki Kozuma and Qilin Wang and Hideyuki Ichihara and Tomoo Inoue}, title = {Reliability Analysis of Approximate Multipliers with Recovery Schemes}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318010}, doi = {10.1109/ATS59501.2023.10318010}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KozumaWII23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KumarRPA23, author = {Gaurav Kumar and Anjum Riaz and Yamuna Prasad and Satyadev Ahlawat}, title = {On Enhancing the Security of Streaming Scan Network Architecture}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317941}, doi = {10.1109/ATS59501.2023.10317941}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KumarRPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiLLWTL23, author = {Wenxing Li and Hongqin Lyu and Shengwen Liang and Tiancheng Wang and Pengyu Tian and Huawei Li}, title = {Intelligent Automatic Test Pattern Generation for Digital Circuits Based on Reinforcement Learning}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317953}, doi = {10.1109/ATS59501.2023.10317953}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiLLWTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiLS23, author = {Yilin Li and Shan Li and Haihua Shen}, title = {HTrans: Transformer-Based Method for Hardware Trojan Detection and Localization}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317971}, doi = {10.1109/ATS59501.2023.10317971}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiO23, author = {Leon Li and Alex Orailoglu}, title = {ClearLock: Deterring Hardware Reverse Engineering Attacks in a White-Box}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317947}, doi = {10.1109/ATS59501.2023.10317947}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiWZLL23, author = {Wen Li and Ying Wang and Kaiwei Zou and Huawei Li and Xiaowei Li}, title = {Adversarial Testing: {A} Novel On-Line Testing Method for Deep Learning Processors}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317994}, doi = {10.1109/ATS59501.2023.10317994}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiWZLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiYHZ23, author = {Huawei Li and Jing Ye and Wei Hu and Jiliang Zhang}, title = {Message from the Chairs}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317950}, doi = {10.1109/ATS59501.2023.10317950}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiYHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuZCZ23, author = {Zhaojun Lu and Qi Zhao and Qidong Chen and Jiliang Zhang}, title = {A Survey on Fault-Tolerance Methods for SRAM-Based FPGAs in Radiation Environments}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318028}, doi = {10.1109/ATS59501.2023.10318028}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuZCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MuTCCYLL23, author = {Jianan Mu and Huajie Tan and Shuai Chen and Min Cai and Jing Ye and Huawei Li and Xiaowei Li}, title = {Configurable and High-Level Pipelined Lattice-Based Post Quantum Cryptography Hardware Accelerator Design}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318005}, doi = {10.1109/ATS59501.2023.10318005}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MuTCCYLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NieJYWWN23, author = {Mu Nie and Wen Jiang and Wankou Yang and Senling Wang and Xiaoqing Wen and Tianming Ni}, title = {Enhancing Defect Diagnosis and Localization in Wafer Map Testing Through Weakly Supervised Learning}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317989}, doi = {10.1109/ATS59501.2023.10317989}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NieJYWWN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShangCZY23, author = {Ying Shang and Kun Chang and Ruilian Zhao and Zhigang Yin}, title = {Template-Based and Coverage-Guided Verification Instruction Set Automatic Generation Method for {DSP} Chip}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317999}, doi = {10.1109/ATS59501.2023.10317999}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShangCZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TanYRYDX23, author = {Yongquan Tan and Yukuan Yang and Hongping Ren and Zhuokun Yang and Qian Dong and Yunzhi Xue}, title = {Survey on Traffic Flow-Based Autonomous Driving Simulation Tests}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317945}, doi = {10.1109/ATS59501.2023.10317945}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TanYRYDX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangWCG23, author = {She Tang and Jian Wang and Zhe Chen and Shize Guo}, title = {A Covert Attack Method Against {FPGA} Clouds}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318003}, doi = {10.1109/ATS59501.2023.10318003}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangWCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangZGZMH23, author = {Shibo Tang and Jiacheng Zhu and Yifei Gao and Jing Zhou and Dejun Mu and Wei Hu}, title = {Verifying {RISC-V} Privilege Transition Integrity Through Symbolic Execution}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317946}, doi = {10.1109/ATS59501.2023.10317946}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangZGZMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangLLC23, author = {Chun{-}Yeh Wang and Chien{-}Hsing Liang and Jing{-}Jia Liou and Harry H. Chen}, title = {Signal Reduction of Signature Blocks for Transient Fault Debugging}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318024}, doi = {10.1109/ATS59501.2023.10318024}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangZYYZ23, author = {Weiwei Wang and Yongchao Zhang and Feng You and Zhigang Yin and Ruilian Zhao}, title = {Fault Diagnosis of Analog Circuits Based on Multi-Scale 1D Convolutional Neural Network}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317956}, doi = {10.1109/ATS59501.2023.10317956}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangZYYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuGZTH23, author = {Lingjuan Wu and Yifei Gao and Jiacheng Zhu and Yu Tai and Wei Hu}, title = {Security Verification of {RISC-V} System Based on {ISA} Level Information Flow Tracking}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317944}, doi = {10.1109/ATS59501.2023.10317944}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuGZTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XunFYAHCPTH23, author = {Hanzhi Xun and Moritz Fieback and Sicong Yuan and Hassen Aziza and Mathijs Heidekamp and Thiago Copetti and Let{\'{\i}}cia Maria Veiras Bolzani Poehls and Mottaqiallah Taouil and Said Hamdioui}, title = {Characterization and Test of Intermittent Over {RESET} in RRAMs}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317990}, doi = {10.1109/ATS59501.2023.10317990}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XunFYAHCPTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanCHCW23, author = {Aibin Yan and Yu Chen and Zhengfeng Huang and Jie Cui and Xiaoqing Wen}, title = {A High-Performance and P-Type FeFET-Based Non-Volatile Latch}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318017}, doi = {10.1109/ATS59501.2023.10318017}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanCHCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanLGHNW23, author = {Aibin Yan and Xuehua Li and Zhongyu Gao and Zhengfeng Huang and Tianming Ni and Xiaoqing Wen}, title = {Advanced {DICE} Based Triple-Node-Upset Recovery Latch with Optimized Overhead for Space Applications}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317977}, doi = {10.1109/ATS59501.2023.10317977}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanLGHNW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangHWYSFCLLC23, author = {Yipei Yang and Junying Huang and Zongyue Wang and Jing Ye and Zihao Sun and Junfeng Fan and Shuai Chen and Huawei Li and Xiaowei Li and Yuan Cao}, title = {A Template Attack on Reduction Without Reference Device on Kyber}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318019}, doi = {10.1109/ATS59501.2023.10318019}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangHWYSFCLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangJSW23, author = {Chengzhen Yang and Song Jin and Jianhuang Shen and Zhuo Wang}, title = {On Tolerating Variations and Faults in Memristor Crossbar Based Neural Network Accelerators by Network Expansion and Weight Zeroing}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317978}, doi = {10.1109/ATS59501.2023.10317978}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangJSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangMZH23, author = {Fan Yang and Tedder Meng and Zhifang Zhang and Yu Huang}, title = {Industry Session {I:} On Automotive Testing}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318022}, doi = {10.1109/ATS59501.2023.10318022}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangMZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeLWZZH23, author = {Shi{-}Jie Ye and Yun{-}Ju Liu and Liuzheng Wang and Hui{-}Ling Zhen and Wei{-}Ming Zhang and Yu Huang}, title = {Fault Simulation Acceleration Based on {ARM} Multi-core {CPU} Architecture}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317970}, doi = {10.1109/ATS59501.2023.10317970}, timestamp = {Mon, 11 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YeLWZZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YueLZLC23, author = {Lei Yue and Jingwen Li and Liwei Zheng and Li Li and Zhanqi Cui}, title = {Software Fault Localization Based on Combining Information Retrieval and Mutation Analysis}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317957}, doi = {10.1109/ATS59501.2023.10317957}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YueLZLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangJ23, author = {Hequan Zhang and Song Jin}, title = {On Detecting and Defending AdvDrop Adversarial Attacks by Image Blurring and Adaptive Noising}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318027}, doi = {10.1109/ATS59501.2023.10318027}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangZL23, author = {Han Zhang and Yinhao Zhou and Ying Li}, title = {A Portable Hardware Trojan Detection Using Graph Attention Networks}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317998}, doi = {10.1109/ATS59501.2023.10317998}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhongMLH23, author = {Junna Zhong and Iris Ma and Hailong Li and Yu Huang}, title = {Industry Session {II:} {DFT} on {AI} Chips}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10317980}, doi = {10.1109/ATS59501.2023.10317980}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhongMLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhouWHFWLW23, author = {Lirong Zhou and Junjun Wang and Zhao Huang and Lu Fan and Quan Wang and Jinhui Liu and Bo Wan}, title = {A Logic Encryption-Enhanced {PUF} Architecture to Deceive Machine Learning-Based Modeling Attacks}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318014}, doi = {10.1109/ATS59501.2023.10318014}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhouWHFWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2023, title = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023}, doi = {10.1109/ATS59501.2023}, isbn = {979-8-3503-0310-0}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AddepalliPANSV22, author = {Hari Addepalli and Irith Pomeranz and M. Enamul Amyeen and Suriyaprakash Natarajan and Arani Sinha and Srikanth Venkataraman}, title = {Using Fault Detection Tests to Produce Diagnostic Tests Targeting Large Sets of Candidate Faults}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {120--125}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00033}, doi = {10.1109/ATS56056.2022.00033}, timestamp = {Wed, 11 Jan 2023 14:55:55 +0100}, biburl = {https://dblp.org/rec/conf/ats/AddepalliPANSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AditiH22, author = {Aditi and Michael S. Hsiao}, title = {Hybrid Rule-based and Machine Learning System for Assertion Generation from Natural Language Specifications}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {126--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00034}, doi = {10.1109/ATS56056.2022.00034}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AditiH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChouWSC22, author = {Yu{-}You Chou and Cheng{-}Wen Wu and Ming{-}Der Shieh and Chao{-}Hsun Chen}, title = {Battery Pack Reliability and Endurance Enhancement for Electric Vehicles by Dynamic Reconfiguration}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {66--71}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00024}, doi = {10.1109/ATS56056.2022.00024}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChouWSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeligiannisFCC022, author = {Nikolaos Ioannis Deligiannis and Tobias Faller and Josie E. Rodriguez Condia and Riccardo Cantoro and Bernd Becker and Matteo Sonza Reorda}, title = {Using Formal Methods to Support the Development of STLs for GPUs}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {84--89}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00027}, doi = {10.1109/ATS56056.2022.00027}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeligiannisFCC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DuhWSCF22, author = {Kuan{-}Hsun Duh and Cheng{-}Wen Wu and Ming{-}Der Shieh and Chao{-}Hsun Chen and Ming{-}Yan Fan}, title = {Aging Impact of Power MOSFETs in Charger with Different Operation Frequency}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {54--59}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00022}, doi = {10.1109/ATS56056.2022.00022}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/DuhWSCF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehTW22, author = {Tong{-}Yu Hsieh and Pao{-}Wei Tsui and Jun{-}Tsung Wu}, title = {On No-Reference Error Detection of an Image Stitching System Based on Error-Tolerance}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {150--155}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00038}, doi = {10.1109/ATS56056.2022.00038}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangCZLC22, author = {Huixian Huang and Xiaole Cui and Shuming Zhang and Ge Li and Xiaoxin Cui}, title = {An obfuscation scheme of scan chain to protect the cryptographic chips}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {19--24}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00016}, doi = {10.1109/ATS56056.2022.00016}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangCZLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangFH22, author = {Wan Ju Huang and Hsiao{-}Wen Fu and Tsung{-}Chu Huang}, title = {{AN-HRNS:} AN-Coded Hierarchical Residue Number System for Reliable Neural Network Accelerators}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {132--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00035}, doi = {10.1109/ATS56056.2022.00035}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangSLL22, author = {Hao Huang and Haihua Shen and Shan Li and Huawei Li}, title = {A Hardware Trojan Trigger Localization Method in {RTL} based on Control Flow Features}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {138--143}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00036}, doi = {10.1109/ATS56056.2022.00036}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuangSLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangYLC22, author = {Zih{-}Ming Huang and Dun{-}An Yang and Jing{-}Jia Liou and Harry H. Chen}, title = {FPGA-Based Emulation for Accelerating Transient Fault Reduction Analysis}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {144--149}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00037}, doi = {10.1109/ATS56056.2022.00037}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangYLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JanaB0BUF22, author = {Utsav Jana and Sourav Banerjee and Binod Kumar and Madhu B and Shankar Umapathi and Masahiro Fujita}, title = {Deep Learning-assisted Scan Chain Diagnosis with Different Fault Models during Manufacturing Test}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {72--77}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00025}, doi = {10.1109/ATS56056.2022.00025}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JanaB0BUF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatoMK22, author = {Takaaki Kato and Yousuke Miyake and Seiji Kajihara}, title = {On Correction of {A} Delay Value Using Ring-Oscillators for Aging Detection and Prediction}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {60--65}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00023}, doi = {10.1109/ATS56056.2022.00023}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatoMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KoyluFHT22, author = {Troya {\c{C}}agil K{\"{o}}yl{\"{u}} and Moritz Fieback and Said Hamdioui and Mottaqiallah Taouil}, title = {Using Hopfield Networks to Correct Instruction Faults}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {102--107}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00030}, doi = {10.1109/ATS56056.2022.00030}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KoyluFHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KumarRPA22, author = {Gaurav Kumar and Anjum Riaz and Yamuna Prasad and Satyadev Ahlawat}, title = {A New Access Protocol for Elevating the Security of {IJTAG} Network}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {31--36}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00018}, doi = {10.1109/ATS56056.2022.00018}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KumarRPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiL22, author = {Jin{-}Fu Li and Jing{-}Jia Liou}, title = {Foreword: {ATS} 2022}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {x}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00005}, doi = {10.1109/ATS56056.2022.00005}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuLH22, author = {Shyue{-}Kung Lu and Zhi{-}Jia Liu and Masaki Hashizume}, title = {Fault Securing Techniques for Yield and Reliability Enhancement of {RRAM}}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {13--18}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00015}, doi = {10.1109/ATS56056.2022.00015}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LylinaWW22, author = {Natalia Lylina and Chih{-}Hao Wang and Hans{-}Joachim Wunderlich}, title = {Online Periodic Test of Reconfigurable Scan Networks}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {78--83}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00026}, doi = {10.1109/ATS56056.2022.00026}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LylinaWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MamgainMTB22, author = {Ankush Mamgain and Salvador Mir and Jai Narayan Tripathi and Manuel J. Barrag{\'{a}}n}, title = {On-chip calibration for high-speed harmonic cancellation-based sinusoidal signal generators}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {43--48}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00020}, doi = {10.1109/ATS56056.2022.00020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/MamgainMTB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NieCS22, author = {Xiaofan Nie and Liwei Chen and Gang Shi}, title = {PointerChecker: Tag-Based and Hardware-Assisted Memory Safety against Memory Corruption}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {96--101}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00029}, doi = {10.1109/ATS56056.2022.00029}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NieCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OhmatsuOIYLH22, author = {Masao Ohmatsu and Yuto Ohtera and Yuki Ikiri and Hiroyuki Yotsuyanagi and Shyue{-}Kung Lu and Masaki Hashizume}, title = {Enhanced Interconnect Test Method for Resistive Open Defects in Final Tests with Relaxation Oscillators}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {49--53}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00021}, doi = {10.1109/ATS56056.2022.00021}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/OhmatsuOIYLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Pomeranz22, author = {Irith Pomeranz}, title = {Two-Dimensional Test Generation Objective}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {108--113}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00031}, doi = {10.1109/ATS56056.2022.00031}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Pomeranz22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Pomeranz22a, author = {Irith Pomeranz}, title = {Selecting Path Delay Faults Through the Largest Subcircuits of Uncovered Lines}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {114--119}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00032}, doi = {10.1109/ATS56056.2022.00032}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Pomeranz22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Pomeranz22b, author = {Irith Pomeranz}, title = {Usable Circuits with Imperfect Scan Logic}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {156--161}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00039}, doi = {10.1109/ATS56056.2022.00039}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Pomeranz22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatoNKIO0OIZKKH22, author = {Keno Sato and Takayuki Nakatani and Shogo Katayama and Daisuke Iimori and Gaku Ogihara and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Yujie Zhao and Kentaroh Katoh and Anna Kuwana and Kazumi Hatayama and Haruo Kobayashi}, title = {High Precision Voltage Measurement System Utilizing Low-End {ATE} Resource and {BOST}}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {37--42}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00019}, doi = {10.1109/ATS56056.2022.00019}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatoNKIO0OIZKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangLLC22, author = {Sying{-}Jyan Wang and Katherine Shu{-}Min Li and Chen{-}Yeh Lin and Song{-}Kong Chong}, title = {Intrusion Detection and Obfuscation Mechanism for PUF-Based Authentication}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {90--95}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00028}, doi = {10.1109/ATS56056.2022.00028}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WangLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanDZH00W22, author = {Aibin Yan and Liang Ding and Zhen Zhou and Zhengfeng Huang and Jie Cui and Patrick Girard and Xiaoqing Wen}, title = {A Radiation-Hardened Non-Volatile Magnetic Latch with High Reliability and Persistent Storage}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00013}, doi = {10.1109/ATS56056.2022.00013}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanDZH00W22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YehLC22, author = {Shih{-}Chun Yeh and Kuen{-}Jong Lee and Dong{-}Yi Chen}, title = {An Authentication-Based Secure {IJTAG} Network}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {25--30}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00017}, doi = {10.1109/ATS56056.2022.00017}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YehLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhuJS22, author = {Weidong Zhu and Jianhui Jiang and Zhanhui Shi}, title = {Locating Critical-Reliability Gates for Sequential Circuits based on the Time Window Graph Model}, booktitle = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, pages = {7--12}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022.00014}, doi = {10.1109/ATS56056.2022.00014}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhuJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2022, title = {{IEEE} 31st Asian Test Symposium, {ATS} 2022, Taichung City, Taiwan, November 21-24, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ATS56056.2022}, doi = {10.1109/ATS56056.2022}, isbn = {978-1-6654-7227-2}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlmeidaARP21, author = {Felipe Almeida and Levent Aksoy and Jaan Raik and Samuel Pagliarini}, title = {Side-Channel Attacks on Triple Modular Redundancy Schemes}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {79--84}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00026}, doi = {10.1109/ATS52891.2021.00026}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/AlmeidaARP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChiLJ21, author = {Hung{-}Yao Chi and Kuen{-}Jong Lee and Tzu{-}Chun Jao}, title = {Lightweight Hardware-Based Memory Protection Mechanism on IoT Processors}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {13--18}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00015}, doi = {10.1109/ATS52891.2021.00015}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChiLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CruzVDRH21, author = {William Souza da Cruz and Raphael Andreoni Camponogara Viera and Jean{-}Max Dutertre and Jean{-}Baptiste Rigaud and Guillaume Hubert}, title = {Further Analysis of Laser-induced IR-drop}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {91--96}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00028}, doi = {10.1109/ATS52891.2021.00028}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/CruzVDRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeligiannisCFPB21, author = {Nikolaos Ioannis Deligiannis and Riccardo Cantoro and Tobias Faller and Tobias Paxian and Bernd Becker and Matteo Sonza Reorda}, title = {Effective SAT-based Solutions for Generating Functional Sequences Maximizing the Sustained Switching Activity in a Pipelined Processor}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {73--78}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00025}, doi = {10.1109/ATS52891.2021.00025}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeligiannisCFPB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FujitaNAF21, author = {Itsuki Fujita and Yoshikazu Nagamura and Masayuki Arai and Satoshi Fukumoto}, title = {Note on CapsNet-Based Wafer Map Defect Pattern Classification}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {37--42}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00019}, doi = {10.1109/ATS52891.2021.00019}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/FujitaNAF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GrunhagenBEMFT21, author = {Arne Gr{\"{u}}nhagen and Julien Branlard and Annika Eichler and Gianluca Martino and G{\"{o}}rschwin Fey and Marina Tropmann{-}Frick}, title = {Fault Analysis of the Beam Acceleration Control System at the European {XFEL} using Data Mining}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {61--66}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00023}, doi = {10.1109/ATS52891.2021.00023}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/GrunhagenBEMFT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Guerrero-Balaguera21, author = {Juan{-}David Guerrero{-}Balaguera and Josie E. Rodriguez Condia and Matteo Sonza Reorda}, title = {A Novel Compaction Approach for {SBST} Test Programs}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {67--72}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00024}, doi = {10.1109/ATS52891.2021.00024}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/Guerrero-Balaguera21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HandiqueDB21, author = {Mousum Handique and Jantindra Kumar Deka and Santosh Biswas}, title = {Detection of Stuck-at and Bridging Fault in Reversible Circuits using an Augmented Circuit}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {55--60}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00022}, doi = {10.1109/ATS52891.2021.00022}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HandiqueDB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HolstBW21, author = {Stefan Holst and Lim Bumun and Xiaoqing Wen}, title = {GPU-Accelerated Timing Simulation of Systolic-Array-Based {AI} Accelerators}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {127--132}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00034}, doi = {10.1109/ATS52891.2021.00034}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HolstBW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IchiharaYI21, author = {Hideyuki Ichihara and Kazunori Yukihiro and Tomoo Inoue}, title = {A Design of Approximate Voting Schemes for Fail-Operational Systems}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {121--126}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00033}, doi = {10.1109/ATS52891.2021.00033}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IchiharaYI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IwataMM21, author = {Hiroyuki Iwata and Yoichi Maeda and Jun Matsushima}, title = {A Power Reduction Method for Scan Testing in Ultra-Low Power Designs}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {141}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00037}, doi = {10.1109/ATS52891.2021.00037}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IwataMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatayamaAKAIOK21, author = {Shogo Katayama and Yudai Abe and Anna Kuwana and Koji Asami and Masahiro Ishida and Ryuya Ohta and Haruo Kobayashi}, title = {Application of Residue Sampling to {RF/AMS} Device Testing}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {19--24}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00016}, doi = {10.1109/ATS52891.2021.00016}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatayamaAKAIOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinCKG21, author = {Xijiang Lin and Wu{-}Tung Cheng and Takeo Kobayashi and Andreas Glowatz}, title = {On Modeling {CMOS} Library Cells for Cell Internal Fault Test Pattern Generation}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {103--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00030}, doi = {10.1109/ATS52891.2021.00030}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinCKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinCXYL21, author = {Ning Lin and Xiaoming Chen and Chunwei Xia and Jing Ye and Xiaowei Li}, title = {ChaoPIM: {A} PIM-based Protection Framework for {DNN} Accelerators Using Chaotic Encryption}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00013}, doi = {10.1109/ATS52891.2021.00013}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LinCXYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MahzoonD21, author = {Alireza Mahzoon and Rolf Drechsler}, title = {Polynomial Formal Verification of Prefix Adders}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {85--90}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00027}, doi = {10.1109/ATS52891.2021.00027}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/MahzoonD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Pomeranz21, author = {Irith Pomeranz}, title = {Positive and Negative Extra Clocking of {LFSR} Seeds for Reduced Numbers of Stored Tests}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {109--114}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00031}, doi = {10.1109/ATS52891.2021.00031}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Pomeranz21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatoNIOIKOIZWKH21, author = {Keno Sato and Takayuki Nakatani and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Shogo Katayama and Gaku Ogihara and Daisuke Iimori and Yujie Zhao and Jianglin Wei and Anna Kuwana and Kazumi Hatayama and Haruo Kobayashi}, title = {High Precision Measurement of Sub-Nano Ampere Current in {ATE} Environment}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {139--140}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00036}, doi = {10.1109/ATS52891.2021.00036}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatoNIOIKOIZWKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShintaniII21, author = {Michihiro Shintani and Mamoru Ishizaka and Michiko Inoue}, title = {Robust Fault-Tolerant Design Based on Checksum and On-Line Testing for Memristor Neural Network}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {25--30}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00017}, doi = {10.1109/ATS52891.2021.00017}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShintaniII21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SootkaneungCH21, author = {Warin Sootkaneung and Sasithorn Chookaew and Suppachai Howimanporn}, title = {Temperature-Aware Evaluation and Mitigation of Logic Soft Errors Under Circuit Variations}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {31--36}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00018}, doi = {10.1109/ATS52891.2021.00018}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/SootkaneungCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangZYW21, author = {Yu Tang and Le Zhao and Wei Yuan and Xu Wang}, title = {CausalTester: Measuring the Consistency of Replicated Services via Causality Semantics}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {49--54}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00021}, doi = {10.1109/ATS52891.2021.00021}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/TangZYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TranNCTDM21, author = {Yen Tran and Toshihiro Nomura and Mohamed Salim Cherchali and Claire Tassin and Yann Deval and Cristell Maneux}, title = {Investigation of 0.18{\(\mu\)}m {CMOS} Sensitivity to {BTI} and {HCI} Mechanisms under Extreme Thermal Stress Conditions}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {97--102}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00029}, doi = {10.1109/ATS52891.2021.00029}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TranNCTDM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangWJTYH21, author = {Zhendong Wang and Rujia Wang and Zihang Jiang and Xulong Tang and Shouyi Yin and Yang Hu}, title = {Towards a Secure Integrated Heterogeneous Platform via Cooperative {CPU/GPU} Encryption}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {115--120}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00032}, doi = {10.1109/ATS52891.2021.00032}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WangWJTYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XuCS21, author = {Qizhen Xu and Liwei Chen and Gang Shi}, title = {Twine Stack: {A} Hybrid Mechanism Achieving Less Cost for Return Address Protection}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {7--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00014}, doi = {10.1109/ATS52891.2021.00014}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XuCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangLC21, author = {Dun{-}An Yang and Jing{-}Jia Liou and Harry H. Chen}, title = {Analyzing Transient Faults and Functional Error Rates of a {RISC-V} Core: {A} Case Study}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {133--138}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00035}, doi = {10.1109/ATS52891.2021.00035}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeLSLL21, author = {Yunying Ye and Shan Li and Haihua Shen and Huawei Li and Xiaowei Li}, title = {SeGa: {A} Trojan Detection Method Combined With Gate Semantics}, booktitle = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, pages = {43--48}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021.00020}, doi = {10.1109/ATS52891.2021.00020}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YeLSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2021, title = {30th {IEEE} Asian Test Symposium, {ATS} 2021, Matsuyama, Ehime, Japan, November 22-25, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ATS52891.2021}, doi = {10.1109/ATS52891.2021}, isbn = {978-1-6654-4051-6}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlamgirAPSG20, author = {Arbab Alamgir and Abu Khari bin A'Ain and Norlina Paraman and Usman Ullah Sheikh and Ian Andrew Grout}, title = {A comparative analysis of {LFSR} cascading for hardware efficiency and high fault coverage in {BIST} applications}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301561}, doi = {10.1109/ATS49688.2020.9301561}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/AlamgirAPSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AliBMK20, author = {Ghazanfar Ali and Leila Bagheriye and Hans A. R. Manhaeve and Hans G. Kerkhoff}, title = {On-chip {EOL} Prognostics Using Data-Fusion of Embedded Instruments for Dependable MP-SoCs}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301509}, doi = {10.1109/ATS49688.2020.9301509}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AliBMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ByambadorjAYHFI20, author = {Zolboo Byambadorj and Koji Asami and Takahiro J. Yamaguchi and Akio Higo and Masahiro Fujita and Tetsuya Iizuka}, title = {Theoretical Analysis on Noise Performance of Modulated Wideband Converters for Analog Testing}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301596}, doi = {10.1109/ATS49688.2020.9301596}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ByambadorjAYHFI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaudhuriBC20, author = {Arjun Chaudhuri and Sanmitra Banerjee and Krishnendu Chakrabarty}, title = {NodeRank: Observation-Point Insertion for Fault Localization in Monolithic 3D ICs\({}^{\mbox{{\({_\ast}\)}}}\)}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301589}, doi = {10.1109/ATS49688.2020.9301589}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChaudhuriBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaudhuriLFC20, author = {Arjun Chaudhuri and Chunsheng Liu and Xiaoxin Fan and Krishnendu Chakrabarty}, title = {C-Testing of {AI} Accelerators \({}^{\mbox{*}}\)}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301581}, doi = {10.1109/ATS49688.2020.9301581}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChaudhuriLFC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DuanWS20, author = {Shengyu Duan and Peng Wang and Gaole Sai}, title = {{BTI} Aging Monitoring based on {SRAM} Start-up Behavior}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301603}, doi = {10.1109/ATS49688.2020.9301603}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/DuanWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GondoMKK20, author = {Masayuki Gondo and Yousuke Miyake and Takaaki Kato and Seiji Kajihara}, title = {On Evaluation for Aging-Tolerant Ring Oscillators with Accelerated Life Test And Its Application to {A} Digital Sensor}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301588}, doi = {10.1109/ATS49688.2020.9301588}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/GondoMKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Hachiya20, author = {Koutaro Hachiya}, title = {A Method to Detect Open Defects in Wire Segments of On-Chip Power Grids}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301528}, doi = {10.1109/ATS49688.2020.9301528}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Hachiya20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuHYYKC20, author = {Jian Hu and Yongyang Hu and Long Yu and Haitao Yang and Yun Kang and Jie Cheng}, title = {Validating {GCSE} in the scheduling of high-level synthesis}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301546}, doi = {10.1109/ATS49688.2020.9301546}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuHYYKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuWTTZ20, author = {Wei Hu and Lingjuan Wu and Yu Tai and Jing Tan and Jiliang Zhang}, title = {A Unified Formal Model for Proving Security and Reliability Properties}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301533}, doi = {10.1109/ATS49688.2020.9301533}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuWTTZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Huang20, author = {Shi{-}Yu Huang}, title = {Overview of On-Chip Performance Monitors for Clock Signals}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301612}, doi = {10.1109/ATS49688.2020.9301612}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Huang20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangLTW20, author = {Gary K.{-}C. Huang and Dave Y.{-}W. Lin and John Z.{-}L. Tang and Charles H.{-}P. Wen}, title = {{SDPTA:} Soft-Delay-aware Pattern-based Timing Analysis and Its Path-Fixing Mechanism}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301512}, doi = {10.1109/ATS49688.2020.9301512}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuangLTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HungLLC20, author = {Shao{-}Chun Hung and Yi{-}Chen Lu and Sung Kyu Lim and Krishnendu Chakrabarty}, title = {Power Supply Noise-Aware Scan Test Pattern Reshaping for At-Speed Delay Fault Testing of Monolithic 3D ICs \({}^{\mbox{*}}\)}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301568}, doi = {10.1109/ATS49688.2020.9301568}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HungLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IbuchiF20, author = {Takaaki Ibuchi and Tsuyoshi Funaki}, title = {{EMI} characterization for power conversion circuit with SiC power devices}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301613}, doi = {10.1109/ATS49688.2020.9301613}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IbuchiF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JanickiMSU20, author = {Jakub Janicki and Grzegorz Mrugalski and Artur Stelmach and Szczepan Urban}, title = {Scan Chain Diagnosis-Driven Test Response Compactor}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301584}, doi = {10.1109/ATS49688.2020.9301584}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JanickiMSU20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KaibarttaBD20, author = {Tanusree Kaibartta and G. P. Biswas and Debesh K. Das}, title = {Heuristic Approach for Identification of Random {TSV} Defects in 3D {IC} During Pre-bond Testing}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301580}, doi = {10.1109/ATS49688.2020.9301580}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KaibarttaBD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KokO20, author = {Chee Hoo Kok and Soon Ee Ong}, title = {{CPU} Utilization Micro-Benchmarking for RealTime Workload Modeling}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301524}, doi = {10.1109/ATS49688.2020.9301524}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KokO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiTHS20, author = {Jin{-}Fu Li and Tsai{-}Ling Tsai and Chun{-}Lung Hsu and Chi{-}Tien Sun}, title = {Testing of Configurable 8T SRAMs for In-Memory Computing}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301535}, doi = {10.1109/ATS49688.2020.9301535}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiTHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuWLBN20, author = {Chih{-}Yan Liu and Mu{-}Ting Wu and James Chien{-}Mo Li and Gaurav Bhargava and Chris Nigh}, title = {Systematic Hold-time Fault Diagnosis and Failure Debug in Production Chips}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301504}, doi = {10.1109/ATS49688.2020.9301504}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuWLBN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MorishitaOS20, author = {Fukashi Morishita and Masanori Otsuka and Wataru Saito}, title = {An {ADC} Test Technique With Dual-Path/Multi-Functional Fine Pattern Generator Realizing High Accuracy Measurement for {CMOS} Image Sensor}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301531}, doi = {10.1109/ATS49688.2020.9301531}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/MorishitaOS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NakamuraKYNSS20, author = {Yohei Nakamura and Naotaka Kuroda and Atsushi Yamaguchi and Ken Nakahara and Michihiro Shintani and Takashi Sato}, title = {Influence of Device Parameter Variability on Current Sharing of Parallel-Connected SiC MOSFETs}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301592}, doi = {10.1109/ATS49688.2020.9301592}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/NakamuraKYNSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OgiharaNHSIOIKA20, author = {Gaku Ogihara and Takayuki Nakatani and Akemi Hatta and Keno Sato and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Anna Kuwana and Riho Aoki and Shogo Katayama and Jianglin Wei and Yujie Zhao and Jianlong Wang and Kazumi Hatayama and Haruo Kobayashi}, title = {Summing Node Test Method: Simultaneous Multiple {AC} Characteristics Testing of Multiple Operational Amplifiers}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301550}, doi = {10.1109/ATS49688.2020.9301550}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/OgiharaNHSIOIKA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PanZGL20, author = {Yuqian Pan and Haichun Zhang and Mingyang Gong and Zhenglin Liu}, title = {Unexpected Error Explosion in {NAND} Flash Memory: Observations and Prediction Scheme}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301575}, doi = {10.1109/ATS49688.2020.9301575}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PanZGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ParaiGR20, author = {Manas Kumar Parai and Kasturi Ghosh and Hafizur Rahaman}, title = {Potentiality of Data Fusion in Analog Circuit Fault Diagnosis}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301544}, doi = {10.1109/ATS49688.2020.9301544}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ParaiGR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PolianABBCESSRW20, author = {Ilia Polian and Jens Anders and Steffen Becker and Paolo Bernardi and Krishnendu Chakrabarty and Nourhan Elhamawy and Matthias Sauer and Adit D. Singh and Matteo Sonza Reorda and Stefan Wagner}, title = {Exploring the Mysteries of System-Level Test}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301557}, doi = {10.1109/ATS49688.2020.9301557}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PolianABBCESSRW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QuCC20, author = {Li Qu and Xiaole Cui and Xiaoxin Cui}, title = {A Testability Enhancement Method for the Memristor Ratioed Logic Circuits}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301537}, doi = {10.1109/ATS49688.2020.9301537}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/QuCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatoNIOIKHK20, author = {Keno Sato and Takayuki Nakatani and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Anna Kuwana and Kazumi Hatayama and Haruo Kobayashi}, title = {Accurate Testing of Precision Voltage Reference by {DC-AC} Conversion}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301558}, doi = {10.1109/ATS49688.2020.9301558}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatoNIOIKHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShibasakiAAHKK20, author = {Yukiko Shibasaki and Koji Asami and Riho Aoki and Akemi Hatta and Anna Kuwana and Haruo Kobayashi}, title = {Analysis and Design of Multi-Tone Signal Generation Algorithms for Reducing Crest Factor}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301549}, doi = {10.1109/ATS49688.2020.9301549}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShibasakiAAHKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShintaniMI20, author = {Michihiro Shintani and Tomoki Mino and Michiko Inoue}, title = {{LBIST-PUF:} An {LBIST} Scheme Towards Efficient Challenge-Response Pairs Collection and Machine-Learning Attack Tolerance Improvement}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301590}, doi = {10.1109/ATS49688.2020.9301590}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShintaniMI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TanC20, author = {Fern Nee Tan and Jia Yun Chuah}, title = {Pre-silicon Noise to Timing Test Methodology}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301514}, doi = {10.1109/ATS49688.2020.9301514}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TanC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/USTFS20, author = {Vinod G. U and Vineesh V. S. and Jaynarayan T. Tudu and Masahiro Fujita and Virendra Singh}, title = {LUT-based Circuit Approximation with Targeted Error Guarantees}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301574}, doi = {10.1109/ATS49688.2020.9301574}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/USTFS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/UedaSIS20, author = {Aoi Ueda and Michihiro Shintani and Michiko Inoue and Takashi Sato}, title = {Measurement of BTI-induced Threshold Voltage Shift for Power MOSFETs under Switching Operation}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301598}, doi = {10.1109/ATS49688.2020.9301598}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/UedaSIS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangXC20, author = {Ming Wang and Jian Xiao and Zhikuang Cai}, title = {An effective technique preventing differential cryptanalysis attack}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301510}, doi = {10.1109/ATS49688.2020.9301510}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangXC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XiaoHFCLC20, author = {Jiang{-}Tang Xiao and Ting{-}Shuo Hsu and Christian M. Fuchs and Yu{-}Teng Chang and Jing{-}Jia Liou and Harry H. Chen}, title = {An ISA-level Accurate Fault Simulator for System-level Fault Analysis}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301547}, doi = {10.1109/ATS49688.2020.9301547}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XiaoHFCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanCZCNWG20, author = {Aibin Yan and Yan Chen and Jun Zhou and Jie Cui and Tianming Ni and Xiaoqing Wen and Patrick Girard}, title = {A Sextuple Cross-Coupled {SRAM} Cell Protected against Double-Node Upsets}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301569}, doi = {10.1109/ATS49688.2020.9301569}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanCZCNWG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangH20, author = {Jun{-}Yu Yang and Shi{-}Yu Huang}, title = {Fault and Soft Error Tolerant Delay-Locked Loop}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301553}, doi = {10.1109/ATS49688.2020.9301553}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangYCZLLH20, author = {Yipei Yang and Jing Ye and Yuan Cao and Jiliang Zhang and Xiaowei Li and Huawei Li and Yu Hu}, title = {Survey: Hardware Trojan Detection for Netlist}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301614}, doi = {10.1109/ATS49688.2020.9301614}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YangYCZLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YinO20, author = {Teo Sje Yin and Soon Ee Ong}, title = {Artificial Neuron Hardware {IP} Verification}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301543}, doi = {10.1109/ATS49688.2020.9301543}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YinO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangPHPHLYW20, author = {Jiliang Zhang and Shuang Peng and Yupeng Hu and Fei Peng and Wei Hu and Jinmei Lai and Jing Ye and Xiangqi Wang}, title = {{HRAE:} Hardware-assisted Randomization against Adversarial Example Attacks}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301586}, doi = {10.1109/ATS49688.2020.9301586}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhangPHPHLYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoCYWCCLL20, author = {Yixuan Zhao and Zhiteng Chao and Jing Ye and Wen Wang and Yuan Cao and Shuai Chen and Xiaowei Li and Huawei Li}, title = {Optimization Space Exploration of Hardware Design for {CRYSTALS-KYBER}}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301498}, doi = {10.1109/ATS49688.2020.9301498}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhaoCYWCCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2020, title = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020}, doi = {10.1109/ATS49688.2020}, isbn = {978-1-7281-7467-9}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/0001BFS19, author = {Binod Kumar and Atul Kumar Bhosale and Masahiro Fujita and Virendra Singh}, title = {Validating Multi-Processor Cache Coherence Mechanisms under Diminished Observability}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {99--104}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00019}, doi = {10.1109/ATS47505.2019.00019}, timestamp = {Tue, 14 Jan 2020 13:20:27 +0100}, biburl = {https://dblp.org/rec/conf/ats/0001BFS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AraujoPMFK19, author = {Leandro Santiago de Ara{\'{u}}jo and Vinay C. Patil and Leandro Augusto Justen Marzulo and Felipe Maia Galv{\~{a}}o Fran{\c{c}}a and Sandip Kundu}, title = {Efficient Testing of Physically Unclonable Functions for Uniqueness}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {117--122}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00022}, doi = {10.1109/ATS47505.2019.00022}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AraujoPMFK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChakrabortyAM19, author = {Anirban Chakraborty and Manaar Alam and Debdeep Mukhopadhyay}, title = {Deep Learning Based Diagnostics for Rowhammer Protection of {DRAM} Chips}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {86--91}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00016}, doi = {10.1109/ATS47505.2019.00016}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChakrabortyAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChattopadhyayKR19, author = {Saranyu Chattopadhyay and Preeti Kumari and Biswajit Ray and Rajat Subhra Chakraborty}, title = {Machine Learning Assisted Accurate Estimation of Usage Duration and Manufacturer for Recycled and Counterfeit Flash Memory Detection}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {49--54}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-1}, doi = {10.1109/ATS47505.2019.000-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChattopadhyayKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenH19, author = {Ching{-}Yuan Chen and Jiun{-}Lang Huang}, title = {Reinforcement-Learning-Based Test Program Generation for Software-Based Self-Test}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {73--78}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00013}, doi = {10.1109/ATS47505.2019.00013}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChooOIIMDKH19, author = {Hau Sim Choo and Chia Yee Ooi and Michiko Inoue and Nordinah Ismail and Mehrdad Moghbel and Sreedharan Baskara Dass and Chee Hoo Kok and Fawnizu Azmadi Hussin}, title = {Machine-Learning-Based Multiple Abstraction-Level Detection of Hardware Trojan Inserted at Register-Transfer Level}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {98}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00018}, doi = {10.1109/ATS47505.2019.00018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChooOIIMDKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChoudhuryMS19, author = {Avishek Choudhury and Brototi Mondal and Biplab K. Sikdar}, title = {Latency Aware Fault Tolerant Cache in Multicore Using Dynamic Remapping Clusters}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {79}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00014}, doi = {10.1109/ATS47505.2019.00014}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChoudhuryMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DebD19, author = {Arighna Deb and Debesh K. Das}, title = {Detailed Fault Model for Physical Quantum Circuits}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {153--158}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00028}, doi = {10.1109/ATS47505.2019.00028}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DebD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DrechlserG19, author = {Rolf Drechsler and Daniel Gro{\ss}e}, title = {Ensuring Correctness of Next Generation Devices: From Reconfigurable to Self-Learning Systems}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {159--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00029}, doi = {10.1109/ATS47505.2019.00029}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DrechlserG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GhoshMCRG19, author = {Sourav Ghosh and Dolan Maity and Arijit Chowdhury and Surajit Kumar Roy and Chandan Giri}, title = {Iterative Parallel Test to Detect and Diagnose Multiple Defects for Digital Microfluidic Biochip}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {147--152}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00027}, doi = {10.1109/ATS47505.2019.00027}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GhoshMCRG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HiramotoOT19, author = {Yushiro Hiramoto and Satoshi Ohtake and Hiroshi Takahashi}, title = {A Built-In Self-Diagnostic Mechanism for Delay Faults Based on Self-Generation of Expected Signatures}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {31--36}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-4}, doi = {10.1109/ATS47505.2019.000-4}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HiramotoOT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Huang19, author = {Tsung{-}Chu Huang}, title = {Self-Checking Residue Number System for Low-Power Reliable Neural Network}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {37--42}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-3}, doi = {10.1109/ATS47505.2019.000-3}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Huang19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KokOIMDCIH19, author = {Chee Hoo Kok and Chia Yee Ooi and Michiko Inoue and Mehrdad Moghbel and Sreedharan Baskara Dass and Hau Sim Choo and Nordinah Ismail and Fawnizu Azmadi Hussin}, title = {Net Classification Based on Testability and Netlist Structural Features for Hardware Trojan Detection}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {105--110}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00020}, doi = {10.1109/ATS47505.2019.00020}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/KokOIMDCIH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KumarDKKCM19, author = {Vinay B. Y. Kumar and Suman Deb and Rupesh Kumar and Mustafa Khairallah and Anupam Chattopadhyay and Avi Mendelson}, title = {Recruiting Fault Tolerance Techniques for Microprocessor Security}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {80--85}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00015}, doi = {10.1109/ATS47505.2019.00015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/KumarDKKCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuSSL019, author = {Renjie Lu and Haihua Shen and Yu Su and Huawei Li and Xiaowei Li}, title = {GramsDet: Hardware Trojan Detection Based on Recurrent Neural Network}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {111--116}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00021}, doi = {10.1109/ATS47505.2019.00021}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LuSSL019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MillicanSRA19, author = {Spencer K. Millican and Yang Sun and Soham Roy and Vishwani D. Agrawal}, title = {Applying Neural Networks to Delay Fault Testing: Test Point Insertion and Random Circuit Training}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {13--18}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-7}, doi = {10.1109/ATS47505.2019.000-7}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MillicanSRA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MomtazC19, author = {Md Imran Momtaz and Abhijit Chatterjee}, title = {Hierarchical State Space Checks for Errors in Sensors, Actuators and Control of Nonlinear Systems: Diagnosis and Compensation}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {141--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00026}, doi = {10.1109/ATS47505.2019.00026}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MomtazC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MondalCPSB19, author = {Manobendra Nath Mondal and Animesh Basak Chowdhury and Manjari Pradhan and Susmita Sur{-}Kolay and Bhargab B. Bhattacharya}, title = {Fault Coverage of a Test Set on Structure-Preserving Siblings of a Circuit-Under-Test}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {25--30}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-5}, doi = {10.1109/ATS47505.2019.000-5}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/MondalCPSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MuduliS19, author = {Sujit Kumar Muduli and Pramod Subramanyan}, title = {Towards Verifiably Secure Systems-on-Chip Platforms}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {92--97}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00017}, doi = {10.1109/ATS47505.2019.00017}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MuduliS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OzenO19, author = {Elbruz Ozen and Alex Orailoglu}, title = {Sanity-Check: Boosting the Reliability of Safety-Critical Deep Neural Network Applications}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {7--12}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-8}, doi = {10.1109/ATS47505.2019.000-8}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/OzenO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RohanBK19, author = {Aditya Rohan and Kanad Basu and Ramesh Karri}, title = {Can Monitoring System State + Counting Custom Instruction Sequences Aid Malware Detection?}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {61--66}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00007}, doi = {10.1109/ATS47505.2019.00007}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/RohanBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/S0SJBS19, author = {Vineesh V. S. and Binod Kumar and Rushikesh Shinde and Akshay Jaiswal and Harsh Bhargava and Virendra Singh}, title = {Orion: {A} Technique to Prune State Space Search Directions for Guidance-Based Formal Verification}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {123--128}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00023}, doi = {10.1109/ATS47505.2019.00023}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/S0SJBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SanyalPDB19, author = {Sayandeep Sanyal and Amit Patra and Pallab Dasgupta and Mayukh Bhattacharya}, title = {A Structured Approach for Rapid Identification of Fault-Sensitive Nets in Analog Circuits}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {135--140}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00025}, doi = {10.1109/ATS47505.2019.00025}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SanyalPDB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SilvaBH019, author = {Felipe Augusto da Silva and Ahmet Cagri Bagbaba and Said Hamdioui and Christian Sauer}, title = {Combining Fault Analysis Technologies for {ISO26262} Functional Safety Verification}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {129--134}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00024}, doi = {10.1109/ATS47505.2019.00024}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/SilvaBH019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangWTCLKP19, author = {Naixing Wang and Chen Wang and Kun{-}Han Tsai and Wu{-}Tung Cheng and Xijiang Lin and Mark Kassab and Irith Pomeranz}, title = {{TEA:} {A} Test Generation Algorithm for Designs with Timing Exceptions}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {19--24}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-6}, doi = {10.1109/ATS47505.2019.000-6}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WangWTCLKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Wu0LCVRHY19, author = {Cheng{-}Hung Wu and Yu Huang and Kuen{-}Jong Lee and Wu{-}Tung Cheng and Gaurav Veda and Sudhakar M. Reddy and Chun{-}Cheng Hu and Chong{-}Siao Ye}, title = {Deep Learning Based Test Compression Analyzer}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-9}, doi = {10.1109/ATS47505.2019.000-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/Wu0LCVRHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanWLCSY0W19, author = {Aibin Yan and Zhen Wu and Lu Lu and Zhili Chen and Jie Song and Zuobin Ying and Patrick Girard and Xiaoqing Wen}, title = {Novel Radiation Hardened Latch Design with Cost-Effectiveness for Safety-Critical Terrestrial Applications}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {43--48}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.000-2}, doi = {10.1109/ATS47505.2019.000-2}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanWLCSY0W19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanWZHCYW019, author = {Aibin Yan and Zhen Wu and Jun Zhou and Yuanjie Hu and Yan Chen and Zuobin Ying and Xiaoqing Wen and Patrick Girard}, title = {Design of a Sextuple Cross-Coupled {SRAM} Cell with Optimized Access Operations for Highly Reliable Terrestrial Applications}, booktitle = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, pages = {55--60}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ATS47505.2019.00006}, doi = {10.1109/ATS47505.2019.00006}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YanWZHCYW019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2019, title = {28th {IEEE} Asian Test Symposium, {ATS} 2019, Kolkata, India, December 10-13, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8942393/proceeding}, isbn = {978-1-7281-2695-1}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/0010SKHW18, author = {Chang Liu and Eric Schneider and Matthias Kampmann and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Extending Aging Monitors for Early Life and Wear-Out Failure Prevention}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {92--97}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00028}, doi = {10.1109/ATS.2018.00028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/0010SKHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenLLR18, author = {Tian Chen and Chenxin Lin and Huaguo Liang and Fuji Ren}, title = {A Dictionary-Based Test Data Compression Method Using Tri-State Coding}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {42--47}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00019}, doi = {10.1109/ATS.2018.00019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenLLR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenWW18, author = {Meng{-}Chi Chen and Tsung{-}Hsuan Wu and Cheng{-}Wen Wu}, title = {A Built-in Self-Test Scheme for Detecting Defects in FinFET-Based {SRAM} Circuit}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {19--24}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00015}, doi = {10.1109/ATS.2018.00015}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChenWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CuiZQL18, author = {Aijiao Cui and Wei Zhou and Gang Qu and Huawei Li}, title = {A New Scheme to Extract {PUF} Information by Scan Chain}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {104--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00030}, doi = {10.1109/ATS.2018.00030}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/CuiZQL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DuanHZ18, author = {Shengyu Duan and Basel Halak and Mark Zwolinski}, title = {Cell Flipping with Distributed Refresh for Cache Ageing Minimization}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {98--103}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00029}, doi = {10.1109/ATS.2018.00029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/DuanHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FuchsMPKW18, author = {Christian M. Fuchs and Nadia M. Murillo and Aske Plaat and Erik van der Kouwe and Peng Wang}, title = {Towards Affordable Fault-Tolerant Nanosatellite Computing with Commodity Hardware}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {127--132}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00034}, doi = {10.1109/ATS.2018.00034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FuchsMPKW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GhoshRG18, author = {Sourav Ghosh and Hafizur Rahaman and Chandan Giri}, title = {Test Diagnosis of Digital Microfluidic Biochips Using Image Segmentation}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {185--190}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00043}, doi = {10.1109/ATS.2018.00043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GhoshRG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuoYGHL18, author = {Qingli Guo and Jing Ye and Yue Gong and Yu Hu and Xiaowei Li}, title = {{PUF} Based Pay-Per-Device Scheme for {IP} Protection of {CNN} Model}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {115--120}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00032}, doi = {10.1109/ATS.2018.00032}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/GuoYGHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HanWT18, author = {Yueying Han and Xiaoxiao Wang and Mark M. Tehranipoor}, title = {{CIPA:} Concurrent {IC} and {PCB} Authentication Using On-chip Ring Oscillator Array}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {109--114}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00031}, doi = {10.1109/ATS.2018.00031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HanWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HiraiYH18, author = {Satoshi Hirai and Hiroyuki Yotsuyanagi and Masaki Hashizume}, title = {Test Time Reduction on Testing Delay Faults in 3D ICs Using Boundary Scan Design}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {7--12}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00013}, doi = {10.1109/ATS.2018.00013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HiraiYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HouHHK18, author = {Guan{-}Hao Hou and Wei{-}Chen Huang and Jiun{-}Lang Huang and Terry Kuo}, title = {Design and Implementation of an FPGA-Based 16-Channel Data/Timing Formatter}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {209--214}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00047}, doi = {10.1109/ATS.2018.00047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HouHHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangS18, author = {Tsung{-}Chu Huang and Jeffae Schroff}, title = {Precompensation, {BIST} and Analogue Berger Codes for Self-Healing of Neuromorphic {RRAM}}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {173--178}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00041}, doi = {10.1109/ATS.2018.00041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangZSLYN18, author = {Zhengfeng Huang and Yangyang Zhang and Zian Su and Huaguo Liang and Huijie Yao and Tianming Ni}, title = {A Hybrid {DMR} Latch to Tolerate {MNU} Using {TDICE} and {WDICE}}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {121--126}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00033}, doi = {10.1109/ATS.2018.00033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangZSLYN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IshizakaSI18, author = {Mamoru Ishizaka and Michihiro Shintani and Michiko Inoue}, title = {Area-Efficient and Reliable Hybrid CMOS/Memristor {ECC} Circuit for ReRAM Storage}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {167--172}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00040}, doi = {10.1109/ATS.2018.00040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IshizakaSI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JiangLHYZL18, author = {Shuhao Jiang and Jiajun Li and Xin He and Guihai Yan and Xuan Zhang and Xiaowei Li}, title = {RiskCap: Minimizing Effort of Error Regulation for Approximate Computing}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {133--138}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00035}, doi = {10.1109/ATS.2018.00035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JiangLHYZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeiMCSC18, author = {Jun{-}Yang Lei and Thomas Moon and Justin Chow and Suresh K. Sitaraman and Abhijit Chatterjee}, title = {A Monobit Built-In Test and Diagnostic System for Flexible Electronic Interconnect}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {191--196}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00044}, doi = {10.1109/ATS.2018.00044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeiMCSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiWLH18, author = {Jian{-}De Li and Sying{-}Jyan Wang and Katherine Shu{-}Min Li and Tsung{-}Yi Ho}, title = {Digital Rights Management for Paper-Based Microfluidic Biochips}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {179--184}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00042}, doi = {10.1109/ATS.2018.00042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiWLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuWYEWC18, author = {Peng Liu and Jigang Wu and Zhiqiang You and Michael Elimu and Weizheng Wang and Shuo Cai}, title = {Defect Analysis and Parallel March Test Algorithm for 3D Hybrid CMOS-Memristor Memory}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {25--29}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00016}, doi = {10.1109/ATS.2018.00016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuWYEWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuLM18, author = {Shyue{-}Kung Lu and Hui{-}Ping Li and Kohei Miyase}, title = {Progressive {ECC} Techniques for Phase Change Memory}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {161--166}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00039}, doi = {10.1109/ATS.2018.00039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MaLYHYXZ18, author = {Gaoliang Ma and Huaguo Liang and Liang Yao and Zhengfeng Huang and Maoxiang Yi and Xiumin Xu and Kai Zhou}, title = {A Low-Cost High-Efficiency True Random Number Generator on FPGAs}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {54--58}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00021}, doi = {10.1109/ATS.2018.00021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MaLYHYXZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MachidaOAK18, author = {Kosuke Machida and Uni Ozawa and Yudai Abe and Haruo Kobayashi}, title = {Time-to-Digital Converter Architectures Using Two Oscillators with Different Frequencies}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {203--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00046}, doi = {10.1109/ATS.2018.00046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MachidaOAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MaityRGR18, author = {Dilip Kumar Maity and Surajit Kumar Roy and Chandan Giri and Hafizur Rahaman}, title = {Identification of Faulty {TSV} with a Built-In Self-Test Mechanism}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00012}, doi = {10.1109/ATS.2018.00012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MaityRGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MajumdarJ18, author = {Amitava Majumdar and Balakrishna Jayadev}, title = {Handling Clock-Domain Crossings in Dual Clock-Edge Logic for DFx Features}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {36--41}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00018}, doi = {10.1109/ATS.2018.00018}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/MajumdarJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OshimaKWSK18, author = {Shigeyuki Oshima and Takaaki Kato and Senling Wang and Yasuo Sato and Seiji Kajihara}, title = {On Flip-Flop Selection for Multi-cycle Scan Test with Partial Observation in Logic {BIST}}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {30--35}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00017}, doi = {10.1109/ATS.2018.00017}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/OshimaKWSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OuyangHWYX18, author = {Yiming Ouyang and Lizhu Hu and Yifeng Wu and Jianfeng Yang and Kun Xing}, title = {Dynamic Fine-Grain Power Gating Design in WiNoC}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {139--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00036}, doi = {10.1109/ATS.2018.00036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/OuyangHWYX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SasakiZKK18, author = {Yuto Sasaki and Yujie Zhao and Anna Kuwana and Haruo Kobayashi}, title = {Highly Efficient Waveform Acquisition Condition in Equivalent-Time Sampling System}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {197--202}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00045}, doi = {10.1109/ATS.2018.00045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SasakiZKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TsengLW18, author = {Tien{-}Hung Tseng and Shou{-}Chun Li and Kai{-}Chiang Wu}, title = {Lifetime Reliability Trojan Based on Exploring Malicious Aging}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {74--79}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00025}, doi = {10.1109/ATS.2018.00025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TsengLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangAHTIMM18, author = {Senling Wang and Tomoki Aono and Yoshinobu Higami and Hiroshi Takahashi and Hiroyuki Iwata and Yoichi Maeda and Jun Matsushima}, title = {Capture-Pattern-Control to Address the Fault Detection Degradation Problem of Multi-cycle Test in Logic {BIST}}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {155--160}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00038}, doi = {10.1109/ATS.2018.00038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangAHTIMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuKL18, author = {Chia{-}Chi Wu and Man{-}Hsuan Kuo and Kuen{-}Jong Lee}, title = {A Dynamic-Key Secure Scan Structure Against Scan-Based Side Channel and Memory Cold Boot Attacks}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {48--53}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00020}, doi = {10.1109/ATS.2018.00020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XuLZMHYNL18, author = {Xiumin Xu and Huaguo Liang and Kai Zhou and Gaoliang Ma and Zhengfeng Huang and Maoxiang Yi and Tianming Ni and Yingchun Lu}, title = {An All-Digital and Jitter-Quantizing True Random Number Generator in SRAM-Based FPGAs}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {59--62}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00022}, doi = {10.1109/ATS.2018.00022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XuLZMHYNL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanLYCY18, author = {Aibin Yan and Yafei Ling and Kang Yang and Zhili Chen and Maoxiang Yi}, title = {Aging-Temperature-and-Propagation Induced Pulse-Broadening Aware Soft Error Rate Estimation for nano-Scale {CMOS}}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {86--91}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00027}, doi = {10.1109/ATS.2018.00027}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanLYCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeH018, author = {Jing Ye and Yu Hu and Xiaowei Li}, title = {Hardware Trojan in {FPGA} {CNN} Accelerator}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {68--73}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00024}, doi = {10.1109/ATS.2018.00024}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YeH018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangWHMKWQ18, author = {Yucong Zhang and Xiaoqing Wen and Stefan Holst and Kohei Miyase and Seiji Kajihara and Hans{-}Joachim Wunderlich and Jun Qian}, title = {Clock-Skew-Aware Scan Chain Grouping for Mitigating Shift Timing Failures in Low-Power Scan Testing}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {149--154}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00037}, doi = {10.1109/ATS.2018.00037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangWHMKWQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoSLL18, author = {Wei Zhao and Haihua Shen and Huawei Li and Xiaowei Li}, title = {Hardware Trojan Detection Based on Signal Correlation}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {80--85}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00026}, doi = {10.1109/ATS.2018.00026}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhaoSLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhouLJHYXY18, author = {Kai Zhou and Huaguo Liang and Yue Jiang and Zhengfeng Huang and Maoxiang Yi and Xiumin Xu and Yao Yao}, title = {A High Reliability {FPGA} Chip Identification Generator Based on PDLs}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {63--67}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00023}, doi = {10.1109/ATS.2018.00023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhouLJHYXY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhuCXHS18, author = {Aijun Zhu and Duanyong Chen and Chuan{-}pei Xu and Cong Hu and Aiguo Song}, title = {A Fault Check Graph Approach for Photonic Router in Network on Chip}, booktitle = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, pages = {13--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ATS.2018.00014}, doi = {10.1109/ATS.2018.00014}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhuCXHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2018, title = {27th {IEEE} Asian Test Symposium, {ATS} 2018, Hefei, China, October 15-18, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8566099/proceeding}, isbn = {978-1-5386-9466-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AhlawatVTS17, author = {Satyadev Ahlawat and Darshit Vaghani and Jaynarayan T. Tudu and Virendra Singh}, title = {On Securing Scan Design from Scan-Based Side-Channel Attacks}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {58--63}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.23}, doi = {10.1109/ATS.2017.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AhlawatVTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AndjelkovicKKVS17, author = {Marko S. Andjelkovic and Milos Krstic and Rolf Kraemer and Varadan Savulimedu Veeravalli and Andreas Steininger}, title = {A Critical Charge Model for Estimating the {SET} and {SEU} Sensitivity: {A} Muller C-Element Case Study}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {82--87}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.27}, doi = {10.1109/ATS.2017.27}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AndjelkovicKKVS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChangYLC17, author = {Chih{-}Ming Chang and Kai{-}Jie Yang and James Chien{-}Mo Li and Hung Chen}, title = {Test Pattern Compression for Probabilistic Circuits}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {23--27}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.17}, doi = {10.1109/ATS.2017.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChangYLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaoLSWL17, author = {Huina Chao and Huawei Li and Xiaoyu Song and Tiancheng Wang and Xiaowei Li}, title = {On Evaluating and Constraining Assertions Using Conflicts in Absent Scenarios}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {195--200}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.45}, doi = {10.1109/ATS.2017.45}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChaoLSWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chen17, author = {Yung{-}Chih Chen}, title = {Tree-Based Logic Encryption for Resisting {SAT} Attack}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {46--51}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.21}, doi = {10.1109/ATS.2017.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chen17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenKL17, author = {Chang{-}Wen Chen and Yi{-}Cheng Kong and Kuen{-}Jong Lee}, title = {Test Compression with Single-Input Data Spreader and Multiple Test Sessions}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {28--33}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.18}, doi = {10.1109/ATS.2017.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengKBYSETRPFC17, author = {Wu{-}Tung Cheng and Randy Klingenberg and Brady Benware and Wu Yang and Manish Sharma and Geir Eide and Yue Tian and Sudhakar M. Reddy and Yan Pan and Sherwin Fernandes and Atul Chittora}, title = {Automatic Identification of Yield Limiting Layout Patterns Using Root Cause Deconvolution on Volume Scan Diagnosis Data}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {219--224}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.49}, doi = {10.1109/ATS.2017.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengKBYSETRPFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CuiLLC17, author = {Xiaole Cui and Yichi Luo and Qiujun Lin and Xiaoxin Cui}, title = {A Heuristic Algorithm for Automatic Generation of March Tests}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {266--271}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.57}, doi = {10.1109/ATS.2017.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CuiLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CuiQQL17, author = {Aijiao Cui and Xuesen Qian and Gang Qu and Huawei Li}, title = {A New Active {IC} Metering Technique Based on Locking Scan Cells}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {40--45}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.20}, doi = {10.1109/ATS.2017.20}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/CuiQQL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DhotreED17, author = {Harshad Dhotre and Stephan Eggersgl{\"{u}}{\ss} and Rolf Drechsler}, title = {Identification of Efficient Clustering Techniques for Test Power Activity on the Layout}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {108--113}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.31}, doi = {10.1109/ATS.2017.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DhotreED17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FuchsSMP17, author = {Christian M. Fuchs and Todor P. Stefanov and Nadia Murillo and Aske Plaat}, title = {Bringing Fault-Tolerant GigaHertz-Computing to Space: {A} Multi-stage Software-Side Fault-Tolerance Approach for Miniaturized Spacecraft}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {100--107}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.30}, doi = {10.1109/ATS.2017.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FuchsSMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GaoHHL17, author = {Zih{-}Huan Gao and Hau Hsu and Ting{-}Shuo Hsu and Jing{-}Jia Liou}, title = {Post-Silicon Test Flow for Aging Prediction}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {70--75}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.25}, doi = {10.1109/ATS.2017.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GaoHHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HamdiouiPLWRY17, author = {Said Hamdioui and Peyman Pouyan and Huawei Li and Ying Wang and Arijit Raychowdhury and Insik Yoon}, title = {Test and Reliability of Emerging Non-volatile Memories}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {175--183}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.42}, doi = {10.1109/ATS.2017.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HamdiouiPLWRY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HeQKL17, author = {Si{-}Rong He and Nguyen Cao Qui and Yu{-}Hsuan Kuo and Chien{-}Nan Jimmy Liu}, title = {An Incremental Aging Analysis Method Based on Delta Circuit Simulation Technique}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {64--69}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.24}, doi = {10.1109/ATS.2017.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HeQKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HossainYSIO17, author = {Fakir Sharif Hossain and Tomokazu Yoneda and Michihiro Shintani and Michiko Inoue and Alex Orailoglu}, title = {Intra-Die-Variation-Aware Side Channel Analysis for Hardware Trojan Detection}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {52--57}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.22}, doi = {10.1109/ATS.2017.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HossainYSIO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehCC17, author = {Tong{-}Yu Hsieh and Tai{-}Ang Cheng and Chao{-}Ru Chen}, title = {Error-Tolerability Evaluation and Test for Images in Face Detection Applications}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.47}, doi = {10.1109/ATS.2017.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangBKTDC17, author = {Yu Huang and Brady Benware and Randy Klingenberg and Huaxing Tang and Jayant Dsouza and Wu{-}Tung Cheng}, title = {Scan Chain Diagnosis Based on Unsupervised Machine Learning}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {225--230}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.50}, doi = {10.1109/ATS.2017.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangBKTDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangLHLHK17, author = {Yang{-}Kai Huang and Kuan{-}Te Li and Chih{-}Lung Hsiao and Chia{-}An Lee and Jiun{-}Lang Huang and Terry Kuo}, title = {Design and Implementation of an EG-Pool Based {FPGA} Formatter with Temperature Compensation}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {88--93}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.28}, doi = {10.1109/ATS.2017.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangLHLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IwataGTF17, author = {Kentaro Iwata and Amir Masoud Gharehbaghi and Mehdi Baradaran Tahoori and Masahiro Fujita}, title = {Post Silicon Debugging of Electrical Bugs Using Trace Buffers}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {189--194}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.44}, doi = {10.1109/ATS.2017.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IwataGTF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KambaraYMHL17, author = {Ayumu Kambara and Hiroyuki Yotsuyanagi and Daichi Miyoshi and Masaki Hashizume and Shyue{-}Kung Lu}, title = {Open Defect Detection with a Built-in Test Circuit by {IDDT} Appearance Time in {CMOS} ICs}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {242--247}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.53}, doi = {10.1109/ATS.2017.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KambaraYMHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Kato17, author = {Kentaro Kato}, title = {Deterministic Path Delay Measurement Using Short Cycle Test Pattern}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.38}, doi = {10.1109/ATS.2017.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Kato17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KoH17, author = {Yen{-}Chun Ko and Shih{-}Hsu Huang}, title = {3D {IC} Memory {BIST} Controller Allocation for Test Time Minimization Under Power Constraints}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {260--265}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.56}, doi = {10.1109/ATS.2017.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KoH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KogaAI17, author = {Keitaro Koga and Hiromitsu Awano and Makoto Ikeda}, title = {Yield Enhancement by Repair Circuits for Ultra-Fine Pitch Stacked-Chip Connections}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {201--205}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.46}, doi = {10.1109/ATS.2017.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KogaAI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LawWLH17, author = {Pok Man Preston Law and Cheng{-}Wen Wu and Long{-}Yi Lin and Hao{-}Chiao Hong}, title = {An Enhanced Boundary Scan Architecture for Inter-Die Interconnect Leakage Measurement in 2.5D and 3D Packages}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {5--10}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.14}, doi = {10.1109/ATS.2017.14}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LawWLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LianHC17, author = {Guan{-}Hao Lian and Shi{-}Yu Huang and Wei{-}yi Chen}, title = {Cloud-Based {PVT} Monitoring System for IoT Devices}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {76--81}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.26}, doi = {10.1109/ATS.2017.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LianHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuYHY17, author = {Shyue{-}Kung Lu and Shu{-}Chi Yu and Masaki Hashizume and Hiroyuki Yotsuyanagi}, title = {Fault-Aware Page Address Remapping Techniques for Enhancing Yield and Reliability of Flash Memories}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {254--259}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.55}, doi = {10.1109/ATS.2017.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuYHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MaedaMP17, author = {Yoichi Maeda and Jun Matsushima and Ron Press}, title = {Automotive {IC} On-line Test Techniques and the Application of Deterministic ATPG-Based Runtime Test}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {237--241}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.52}, doi = {10.1109/ATS.2017.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MaedaMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MajumdarJCL17, author = {Amitava Majumdar and Balakrishna Jayadev and Da Cheng and Albert Lin}, title = {Architecture for Reliable Scan-Dump in the Presence of Multiple Asynchronous Clock Domains in {FPGA} SoCs}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.36}, doi = {10.1109/ATS.2017.36}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/MajumdarJCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MittalB17, author = {Soumya Mittal and R. D. (Shawn) Blanton}, title = {{PADLOC:} Physically-Aware Defect Localization and Characterization}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {212--218}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.48}, doi = {10.1109/ATS.2017.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MittalB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MondalD17, author = {Joyati Mondal and Debesh Kumar Das}, title = {Design for Testability Technique of Reversible Logic Circuits Based on Exclusive Testing}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {248--253}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.54}, doi = {10.1109/ATS.2017.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MondalD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OzawaIJSTTSK17, author = {Yuki Ozawa and Takashi Ida and Richen Jiang and Shotaro Sakurai and Seiya Takigami and Nobukazu Tsukiji and Ryoji Shiota and Haruo Kobayashi}, title = {{SAR} {TDC} Architecture with Self-Calibration Employing Trigger Circuit}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {94--99}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.29}, doi = {10.1109/ATS.2017.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/OzawaIJSTTSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PeiRJ17, author = {Songwei Pei and Alrashdi Ahmed Rabehb and Song Jin}, title = {On-Chip Ring Oscillator Based Scheme for {TSV} Delay Measurement}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {11--16}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.15}, doi = {10.1109/ATS.2017.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PeiRJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PiplaniFSCH17, author = {Surya Piplani and Humberto Fonseca and Vivek Mohan Sharma and Daniele Cervini and David Hardisty}, title = {Test and Debug Strategy for High Speed {JESD204B} Rx {PHY}}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {184--188}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.43}, doi = {10.1109/ATS.2017.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PiplaniFSCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Pomeranz17, author = {Irith Pomeranz}, title = {Test Compaction with Dynamic Updating of Faults for Coverage of Undetected Transition Fault Sites}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {34--39}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.19}, doi = {10.1109/ATS.2017.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Pomeranz17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Pomeranz17a, author = {Irith Pomeranz}, title = {Compaction of a Transparent-Scan Sequence to Reduce the Fail Data Volume for Scan Chain Faults}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.35}, doi = {10.1109/ATS.2017.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Pomeranz17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PotluriMNHT17, author = {Seetal Potluri and Aaron Mathew and Rambabu Nerukonda and Ismed Hartanto and Shahin Toutounchi}, title = {Cell-Aware {ATPG} to Improve Defect Coverage for {FPGA} IPs and Next Generation Zynq{\textregistered} MPSoCs}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.39}, doi = {10.1109/ATS.2017.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PotluriMNHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangICK17, author = {Jack Tang and Mohamed Ibrahim and Krishnendu Chakrabarty and Ramesh Karri}, title = {Security Implications of Cyberphysical Flow-Based Microfluidic Biochips}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.32}, doi = {10.1109/ATS.2017.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangICK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangJPJR17, author = {Huaxing Tang and Arvind Jain and Sanil Kumark Pillai and Dharmesh Joshi and Shamitha Rao}, title = {Using Cell Aware Diagnostic Patterns to Improve Diagnosis Resolution for Cell Internal Defects}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.51}, doi = {10.1109/ATS.2017.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangJPJR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TsaiG17, author = {Kun{-}Han Tsai and Srinivasan Gopalakrishnan}, title = {Test Coverage Analysis for Designs with Timing Exceptions}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {169--174}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.41}, doi = {10.1109/ATS.2017.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TsaiG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/UllKW17, author = {Dominik Ull and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Structure-Oriented Test of Reconfigurable Scan Networks}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.34}, doi = {10.1109/ATS.2017.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/UllKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangCLL17, author = {Sying{-}Jyan Wang and Hsiang{-}Hsueh Chen and Chin{-}Hung Lien and Katherine Shu{-}Min Li}, title = {Testing Clock Distribution Networks}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.40}, doi = {10.1109/ATS.2017.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangHTSKS17, author = {Senling Wang and Yoshinobu Higami and Hiroshi Takahashi and Masayuki Sato and Mitsunori Katsu and Shoichi Sekiguchi}, title = {Testing of Interconnect Defects in Memory Based Reconfigurable Logic Device {(MRLD)}}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {17--22}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.16}, doi = {10.1109/ATS.2017.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangHTSKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangHWMKQ17, author = {Yucong Zhang and Stefan Holst and Xiaoqing Wen and Kohei Miyase and Seiji Kajihara and Jun Qian}, title = {Scan Chain Grouping for Mitigating IR-Drop-Induced Test Data Corruption}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.37}, doi = {10.1109/ATS.2017.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangHWMKQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhouCLQ17, author = {Wei Zhou and Aijiao Cui and Huawei Li and Gang Qu}, title = {How to Secure Scan Design Against Scan-Based Side-Channel Attacks?}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.33}, doi = {10.1109/ATS.2017.33}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhouCLQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2017, title = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8267185/proceeding}, isbn = {978-1-5386-2437-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AmyeenPV16, author = {M. Enamul Amyeen and Irith Pomeranz and Srikanth Venkataraman}, title = {A Joint Diagnostic Test Generation Procedure with Dynamic Test Compaction}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {138--143}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.15}, doi = {10.1109/ATS.2016.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AmyeenPV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BianS0HCS16, author = {Song Bian and Michihiro Shintani and Zheng Wang and Masayuki Hiromoto and Anupam Chattopadhyay and Takashi Sato}, title = {Runtime {NBTI} Mitigation for Processor Lifespan Extension via Selective Node Control}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {234--239}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.31}, doi = {10.1109/ATS.2016.31}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/BianS0HCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CantoroPPR16, author = {Riccardo Cantoro and Marco Palena and Paolo Pasini and Matteo Sonza Reorda}, title = {Test Time Minimization in Reconfigurable Scan Networks}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.58}, doi = {10.1109/ATS.2016.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CantoroPPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenCCW16, author = {Harry H. Chen and Simon Y.{-}H. Chen and Po{-}Yao Chuang and Cheng{-}Wen Wu}, title = {Efficient Cell-Aware Fault Modeling by Switch-Level Test Generation}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {197--202}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.33}, doi = {10.1109/ATS.2016.33}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChenCCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenK16, author = {Te{-}Hui Chen and David C. Keezer}, title = {An Ultra-High-Speed Test Module and FPGA-Based Development Platform}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {269--274}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.26}, doi = {10.1109/ATS.2016.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DalirsaniW16, author = {Atefe Dalirsani and Hans{-}Joachim Wunderlich}, title = {Functional Diagnosis for Graceful Degradation of NoC Switches}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {246--251}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.18}, doi = {10.1109/ATS.2016.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DalirsaniW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DangMOA16, author = {Khanh N. Dang and Michael Conrad Meyer and Yuichi Okuyama and Abderazek Ben Abdallah}, title = {Reliability Assessment and Quantitative Evaluation of Soft-Error Resilient 3D Network-on-Chip Systems}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {161--166}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.37}, doi = {10.1109/ATS.2016.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DangMOA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DhotreDPH16, author = {Harshad Dhotre and Mehdi Dehbashi and Ulrike Pfannkuchen and Klaus Hofmann}, title = {Automated Optimization of Scan Chain Structure for Test Compression-Based Designs}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {185--190}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.60}, doi = {10.1109/ATS.2016.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DhotreDPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EggersglussHTMW16, author = {Stephan Eggersgl{\"{u}}{\ss} and Stefan Holst and Daniel Tille and Kohei Miyase and Xiaoqing Wen}, title = {Formal Test Point Insertion for Region-based Low-Capture-Power Compact At-Speed Scan Test}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.41}, doi = {10.1109/ATS.2016.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EggersglussHTMW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GharehbaghiF16, author = {Amir Masoud Gharehbaghi and Masahiro Fujita}, title = {A New Approach for Debugging Logic Circuits without Explicitly Debugging Their Functionality}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.54}, doi = {10.1109/ATS.2016.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GharehbaghiF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GomezW16, author = {Laura Rodr{\'{\i}}guez G{\'{o}}mez and Hans{-}Joachim Wunderlich}, title = {A Neural-Network-Based Fault Classifier}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {144--149}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.46}, doi = {10.1109/ATS.2016.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GomezW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuoYGH016, author = {Qingli Guo and Jing Ye and Yue Gong and Yu Hu and Xiaowei Li}, title = {Efficient Attack on Non-linear Current Mirror {PUF} with Genetic Algorithm}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.21}, doi = {10.1109/ATS.2016.21}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/GuoYGH016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HeydarzadehLN16, author = {Mehrdad Heydarzadeh and Hao Luo and Mehrdad Nourani}, title = {Model-Free Testing of Analog Circuits}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {102--106}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.19}, doi = {10.1109/ATS.2016.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HeydarzadehLN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HolstSWKYWK16, author = {Stefan Holst and Eric Schneider and Xiaoqing Wen and Seiji Kajihara and Yuta Yamato and Hans{-}Joachim Wunderlich and Michael A. Kochte}, title = {Timing-Accurate Estimation of IR-Drop Impact on Logic- and Clock-Paths During At-Speed Scan Test}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.49}, doi = {10.1109/ATS.2016.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HolstSWKYWK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HongL16, author = {Hao{-}Chiao Hong and Long{-}Yi Lin}, title = {A Study on the Transfer Function Based Analog Fault Model for Linear and Time-Invariant Continuous-Time Analog Circuits}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {92--95}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.38}, doi = {10.1109/ATS.2016.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HongL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HouLHSC16, author = {Po{-}Fan Hou and Yi{-}Tsung Lin and Jiun{-}Lang Huang and Ann Shih and Zoe F. Conroy}, title = {An IR-Drop Aware Test Pattern Generator for Scan-Based At-Speed Testing}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.23}, doi = {10.1109/ATS.2016.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HouLHSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuA16, author = {Shih{-}Hsin Hu and Jacob A. Abraham}, title = {Quality Aware Error Detection in 2-D Separable Linear Transformation}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {257--262}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.10}, doi = {10.1109/ATS.2016.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Huang16, author = {Shi{-}Yu Huang}, title = {Pre-Bond and Post-Bond Testing of TSVs and Die-to-Die Interconnects}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {80--85}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.67}, doi = {10.1109/ATS.2016.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Huang16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangZ16, author = {Shi{-}Yu Huang and Chih{-}Chieh Zheng}, title = {Die-to-Die Clock Skew Characterization and Tuning for 2.5D ICs}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {221--226}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.11}, doi = {10.1109/ATS.2016.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/InuyamaAI16, author = {Shingo Inuyama and Masayuki Arai and Kazuhiko Iwasaki}, title = {Critical-Area-Aware Test Pattern Generation and Reordering}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {191--196}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.48}, doi = {10.1109/ATS.2016.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/InuyamaAI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IslamK16, author = {Md. Nazmul Islam and Sandip Kundu}, title = {Modeling Residual Lifetime of an {IC} Considering Spatial and Inter-Temporal Temperature Variations}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {240--245}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.29}, doi = {10.1109/ATS.2016.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IslamK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IwataM16, author = {Hiroyuki Iwata and Jun Matsushima}, title = {Multi-configuration Scan Structure for Various Purposes}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {131}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.32}, doi = {10.1109/ATS.2016.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IwataM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KampmannH16, author = {Matthias Kampmann and Sybille Hellebrand}, title = {X Marks the Spot: Scan-Flip-Flop Clustering for Faster-than-at-Speed Test}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.20}, doi = {10.1109/ATS.2016.20}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/KampmannH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatoWSKW16, author = {Takaaki Kato and Senling Wang and Yasuo Sato and Seiji Kajihara and Xiaoqing Wen}, title = {A Flexible Power Control Method for Right Power Testing of Scan-Based Logic {BIST}}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {203--208}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.59}, doi = {10.1109/ATS.2016.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatoWSKW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KawabataASYK16, author = {Masayuki Kawabata and Koji Asami and Shohei Shibuya and Tomonori Yanagida and Haruo Kobayashi}, title = {Rectangular Waveform Generation with Harmonics Suppression}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {125}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.52}, doi = {10.1109/ATS.2016.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KawabataASYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteBSW16, author = {Michael A. Kochte and Rafal Baranowski and Marcel Schaal and Hans{-}Joachim Wunderlich}, title = {Test Strategies for Reconfigurable Scan Networks}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {113--118}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.35}, doi = {10.1109/ATS.2016.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteBSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Kundu16, author = {Sandip Kundu}, title = {Managing Reliability of Integrated Circuits: Lifetime Metering and Design for Healing}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {227}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.80}, doi = {10.1109/ATS.2016.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Kundu16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeO16, author = {Siaw Chen Lee and Soon Ee Ong}, title = {rosTest: Universal Test Framework for Real-Time Operating System}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {129}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.39}, doi = {10.1109/ATS.2016.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinCLLKC16, author = {Tzu{-}Ying Lin and Yong{-}Xiao Chen and Jin{-}Fu Li and Chih{-}Yen Lo and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {A Test Method for Finding Boundary Currents of 1T1R Memristor Memories}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {281--286}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.44}, doi = {10.1109/ATS.2016.44}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LinCLLKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinRC16, author = {Xijiang Lin and Sudhakar M. Reddy and Wu{-}Tung Cheng}, title = {On Achieving Maximal Chain Diagnosis Resolution through Test Pattern Selection}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {132--137}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.22}, doi = {10.1109/ATS.2016.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinRC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinWL16, author = {Sheng{-}Lin Lin and Cheng{-}Hung Wu and Kuen{-}Jong Lee}, title = {Repairable Cell-Based Chip Design for Simultaneous Yield Enhancement and Fault Diagnosis}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.27}, doi = {10.1109/ATS.2016.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuLW16, author = {Hsuan{-}Wei Liu and Bing{-}Yang Lin and Cheng{-}Wen Wu}, title = {Layout-Oriented Defect Set Reduction for Fast Circuit Simulation in Cell-Aware Test}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {156--160}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.25}, doi = {10.1109/ATS.2016.25}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiuLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuZH16, author = {Shyue{-}Kung Lu and Shang{-}Xiu Zhong and Masaki Hashizume}, title = {Adaptive {ECC} Techniques for Yield and Reliability Enhancement of Flash Memories}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {287--292}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.64}, doi = {10.1109/ATS.2016.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuoHN16, author = {Hao Luo and Mehrdad Heydarzadeh and Mehrdad Nourani}, title = {Aging-Leakage Tradeoffs Using Multi-Vth Cell Library}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {298--303}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.13}, doi = {10.1109/ATS.2016.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuoHN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MitraDBDH16, author = {Sayandeep Mitra and Moumita Das and Ansuman Banerjee and Kausik Datta and Tsung{-}Yi Ho}, title = {A Verification Guided Approach for Selective Program Transformations for Approximate Computing}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.28}, doi = {10.1109/ATS.2016.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MitraDBDH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MizushimaSFMWMM16, author = {Takeshi Mizushima and Kazuki Shirahata and Tasuku Fujibe and Hidenobu Matsumura and Daisuke Watanabe and Hiroyuki Mineo and Shin Masuda}, title = {An Optical/Electrical Test System for 100-Gb/s Optical Interconnection Devices for High Volume Production}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {130}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.51}, doi = {10.1109/ATS.2016.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MizushimaSFMWMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MoghaddamMRTZ16, author = {Elham K. Moghaddam and Nilanjan Mukherjee and Janusz Rajski and Jerzy Tyszer and Justyna Zawada}, title = {On Test Points Enhancing Hardware Security}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.24}, doi = {10.1109/ATS.2016.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MoghaddamMRTZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MuldreyDC16, author = {Barry John Muldrey and Sabyasachi Deyati and Abhijit Chatterjee}, title = {Concurrent Stimulus and Defect Magnitude Optimization for Detection of Weakest Shorts and Opens in Analog Circuits}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {96--101}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.61}, doi = {10.1109/ATS.2016.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MuldreyDC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PandeyDSC16, author = {Sujay Pandey and Sabyasachi Deyati and Adit D. Singh and Abhijit Chatterjee}, title = {Noise-Resilient {SRAM} Physically Unclonable Function Design for Security}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.65}, doi = {10.1109/ATS.2016.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PandeyDSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PellereyJSRRTU16, author = {Francesco Pellerey and Maksim Jenihhin and Giovanni Squillero and Jaan Raik and Matteo Sonza Reorda and Valentin Tihhomirov and Raimund Ubar}, title = {Rejuvenation of NBTI-Impacted Processors Using Evolutionary Generation of Assembler Programs}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {304--309}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.57}, doi = {10.1109/ATS.2016.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PellereyJSRRTU16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PomeranzR16, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {On the Switching Activity in Faulty Circuits During Test Application}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.12}, doi = {10.1109/ATS.2016.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PomeranzR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QiuLWLG016, author = {Yingxin Qiu and Huawei Li and Tiancheng Wang and Bo Liu and Yingke Gao and Xiaowei Li}, title = {Property Coverage Analysis Based Trustworthiness Verification for Potential Threats from {EDA} Tools}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.45}, doi = {10.1109/ATS.2016.45}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/QiuLWLG016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RamanathanS16, author = {Parameswaran Ramanathan and Kewal K. Saluja}, title = {Crypt-Delay: Encrypting {IP} Cores with Capabilities for Gate-level Logic and Delay Simulations}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.47}, doi = {10.1109/ATS.2016.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RamanathanS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatishkumarV16, author = {Jayalaxmi Satishkumar and Nagesh Vaidya}, title = {Achieving Acceptable Bit Error Rate for 40 Gbps Link Using Signal Conditioning Techniques}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {126}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.14}, doi = {10.1109/ATS.2016.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatishkumarV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SauerJRMWBP16, author = {Matthias Sauer and Jie Jiang and Sven Reimer and Kohei Miyase and Xiaoqing Wen and Bernd Becker and Ilia Polian}, title = {On Optimal Power-Aware Path Sensitization}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.63}, doi = {10.1109/ATS.2016.63}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/SauerJRMWBP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SchneiderW16, author = {Eric Schneider and Hans{-}Joachim Wunderlich}, title = {High-Throughput Transistor-Level Fault Simulation on GPUs}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {150--155}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.9}, doi = {10.1109/ATS.2016.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SchneiderW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShenSXYZ16, author = {Hao Shen and Lance Shen and Pierce Xu and Wu Yang and Junna Zhong}, title = {Application of Data Mining Based Scan Diagnosis Yield Analysis in a Foundry and Fabless Working Environment}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {128}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.66}, doi = {10.1109/ATS.2016.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShenSXYZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShirahataMFMIIW16, author = {Kazuki Shirahata and Takeshi Mizushima and Tasuku Fujibe and Hidenobu Matsumura and Tomoyuki Itakura and Masahiro Ishida and Daisuke Watanabe and Shin Masuda}, title = {An Optical Interconnection Test Method Applicable to 100-Gb/s Transceivers Using an {ATE} Based Hardware}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {263--268}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.53}, doi = {10.1109/ATS.2016.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShirahataMFMIIW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SinghGPBKP16, author = {Jasvir Singh and Anuj Grover and Mausumi Pohit and Anurag Singh Baghel and Gurjit Kaur and Shalini Pathak}, title = {Scan Chain Adaptation through {ECO}}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {107--112}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.16}, doi = {10.1109/ATS.2016.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SinghGPBKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SootkaneungCH16, author = {Warin Sootkaneung and Sasithorn Chookaew and Suppachai Howimanporn}, title = {Combined Impact of {BTI} and Temperature Effect Inversion on Circuit Performance}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {310--315}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.34}, doi = {10.1109/ATS.2016.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SootkaneungCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SrimaniGR16, author = {Supriyo Srimani and Kasturi Ghosh and Hafizur Rahaman}, title = {Parametric Fault Detection in Analog Circuits: {A} Statistical Approach}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.55}, doi = {10.1109/ATS.2016.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SrimaniGR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TahooriC16, author = {Mehdi Baradaran Tahoori and Krishnendu Chakrabarty}, title = {Test and Reliability Issues in 2.5D and 3D Integration}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {73}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.79}, doi = {10.1109/ATS.2016.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TahooriC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TanLW16, author = {Lai Pheng Tan and Shen Shen Lee and Kian Hui Wong}, title = {Design and Implementation of {EMIB} Testing on 2.5D {FPGA} Transceiver}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {127}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.62}, doi = {10.1109/ATS.2016.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TanLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/UezonoTSNK16, author = {Takumi Uezono and Tadanobu Toba and Ken{-}ichi Shimbo and Fumihiko Nagasaki and Kenji Kawamura}, title = {Evaluation Technique for Soft-Error Rate in Terrestrial Environment Utilizing Low-Energy Neutron Irradiation}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {293--297}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.36}, doi = {10.1109/ATS.2016.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/UezonoTSNK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangAHHTIM16, author = {Senling Wang and Hanan T. Al{-}Awadhi and Soh Hamada and Yoshinobu Higami and Hiroshi Takahashi and Hiroyuki Iwata and Jun Matsushima}, title = {Structure-Based Methods for Selecting Fault-Detection-Strengthened {FF} under Multi-cycle Test with Sequential Observation}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {209--214}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.40}, doi = {10.1109/ATS.2016.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangAHHTIM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangC16, author = {Ran Wang and Krishnendu Chakrabarty}, title = {Testing of Interposer-Based 2.5D Integrated Circuits: Challenges and Solutions}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {74--79}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.50}, doi = {10.1109/ATS.2016.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangCL16, author = {Sying{-}Jyan Wang and Ting{-}Jui Choi and Katherine Shu{-}Min Li}, title = {Side-Channel Attack on Flipped Scan Chains}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.43}, doi = {10.1109/ATS.2016.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangWCT16, author = {Shengcheng Wang and Ran Wang and Krishnendu Chakrabarty and Mehdi Baradaran Tahoori}, title = {Multicast Test Architecture and Test Scheduling for Interposer-Based 2.5D ICs}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {86--91}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.42}, doi = {10.1109/ATS.2016.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangWCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YanHFXL16, author = {Aibin Yan and Zhengfeng Huang and Xiangsheng Fang and Xiaolin Xu and Huaguo Liang}, title = {Novel Low Cost and Double Node Upset Tolerant Latch Design for Nanoscale {CMOS} Technology}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {252--256}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.17}, doi = {10.1109/ATS.2016.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YanHFXL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeKLW16, author = {Jin{-}Cun Ye and Michael A. Kochte and Kuen{-}Jong Lee and Hans{-}Joachim Wunderlich}, title = {Autonomous Testing for 3D-ICs with {IEEE} Std. 1687}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {215--220}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.56}, doi = {10.1109/ATS.2016.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YeKLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoK16, author = {Yong Zhao and Hans G. Kerkhoff}, title = {Highly Dependable Multi-processor SoCs Employing Lifetime Prediction Based on Health Monitors}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {228--233}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.30}, doi = {10.1109/ATS.2016.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhaoK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2016, title = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7795842/proceeding}, isbn = {978-1-5090-3809-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AhlawatTMS15, author = {Satyadev Ahlawat and Jaynarayan T. Tudu and Anzhela Yu. Matrosova and Virendra Singh}, title = {A New Scan Flip Flop Design to Eliminate Performance Penalty of Scan}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.12}, doi = {10.1109/ATS.2015.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AhlawatTMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AsadaWHMKKSWQ15, author = {Koji Asada and Xiaoqing Wen and Stefan Holst and Kohei Miyase and Seiji Kajihara and Michael A. Kochte and Eric Schneider and Hans{-}Joachim Wunderlich and Jun Qian}, title = {Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.25}, doi = {10.1109/ATS.2015.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AsadaWHMKKSWQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BehnamA15, author = {Payman Behnam and Bijan Alizadeh}, title = {In-Circuit Mutation-Based Automatic Correction of Certain Design Errors Using {SAT} Mechanisms}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {199--204}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.41}, doi = {10.1109/ATS.2015.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BehnamA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CantoroMRZL15, author = {Riccardo Cantoro and Mehrdad Montazeri and Matteo Sonza Reorda and Farrokh Ghani Zadegan and Erik Larsson}, title = {On the testability of {IEEE} 1687 networks}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.7447934}, doi = {10.1109/ATS.2015.7447934}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CantoroMRZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChangYHW15, author = {Chun{-}Hao Chang and Kuen{-}Wei Yeh and Jiun{-}Lang Huang and Laung{-}Terng Wang}, title = {{SDC-TPG:} {A} Deterministic Zero-Inflation Parallel Test Pattern Generator}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.15}, doi = {10.1109/ATS.2015.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChangYHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChiangHCPL15, author = {Kuan{-}Ying Chiang and Yu{-}Hao Ho and Yo{-}Wei Chen and Cheng{-}Sheng Pan and James Chien{-}Mo Li}, title = {Fault Simulation and Test Pattern Generation for Cross-gate Defects in FinFET Circuits}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {181--186}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.38}, doi = {10.1109/ATS.2015.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChiangHCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChintaluriPNNR15, author = {Ashwin Chintaluri and Abhinav Parihar and Suriyaprakash Natarajan and Helia Naeimi and Arijit Raychowdhury}, title = {A Model Study of Defects and Faults in Embedded Spin Transfer Torque {(STT)} {MRAM} Arrays}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {187--192}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.39}, doi = {10.1109/ATS.2015.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChintaluriPNNR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChouCL15, author = {Che{-}Wei Chou and Yong{-}Xiao Chen and Jin{-}Fu Li}, title = {Testing Inter-Word Coupling Faults of Wide {I/O} DRAMs}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.19}, doi = {10.1109/ATS.2015.19}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChouCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeyatiMSC15, author = {Sabyasachi Deyati and Barry John Muldrey and Adit D. Singh and Abhijit Chatterjee}, title = {Challenge Engineering and Design of Analog Push Pull Amplifier Based Physically Unclonable Function for Hardware Security}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.29}, doi = {10.1109/ATS.2015.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeyatiMSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DuttaCBD15, author = {Saikat Dutta and Soumi Chattopadhyay and Ansuman Banerjee and Pallab Dasgupta}, title = {A New Approach for Minimal Environment Construction for Modular Property Verification}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {205--210}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.42}, doi = {10.1109/ATS.2015.42}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/DuttaCBD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FlenkerSF15, author = {Tino Flenker and Andr{\'{e}} S{\"{u}}lflow and G{\"{o}}rschwin Fey}, title = {Diagnostic Tests and Diagnosis for Delay Faults Using Path Segmentation}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.32}, doi = {10.1109/ATS.2015.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FlenkerSF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Fujita15, author = {Masahiro Fujita}, title = {Detection of test Patterns with Unreachable States through Efficient Inductive-Invariant Identification}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.13}, doi = {10.1109/ATS.2015.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Fujita15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuptaGMK15, author = {Sukrat Gupta and Neel Gala and G. S. Madhusudan and V. Kamakoti}, title = {{SHAKTI-F:} {A} Fault Tolerant Microprocessor Architecture}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.35}, doi = {10.1109/ATS.2015.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuptaGMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IshidaNMIA15, author = {Masahiro Ishida and Toru Nakura and Akira Matsukawa and Rimon Ikeno and Kunihiro Asada}, title = {A Technique for Analyzing On-Chip Power Supply Impedance}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {193--198}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.40}, doi = {10.1109/ATS.2015.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IshidaNMIA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JiangXS15, author = {Zhou Jiang and Dong Xiang and Kele Shen}, title = {A Novel Scan Segmentation Design for Power Controllability and Reduction in At-Speed Test}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.9}, doi = {10.1109/ATS.2015.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JiangXS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KampmannKSIHW15, author = {Matthias Kampmann and Michael A. Kochte and Eric Schneider and Thomas Indlekofer and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Optimized Selection of Frequencies for Faster-Than-at-Speed Test}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.26}, doi = {10.1109/ATS.2015.26}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/KampmannKSIHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KarmakarAC15, author = {Rajit Karmakar and Aditya Agarwal and Santanu Chattopadhyay}, title = {Test Infrastructure Development and Test Scheduling of 3D-Stacked ICs under Resource and Power Constraints}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.20}, doi = {10.1109/ATS.2015.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KarmakarAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimAJP15, author = {Dooyoung Kim and Muhammad Adil Ansari and Jihun Jung and Sungju Park}, title = {Scan-Puf: Puf Elements Selection Methods for Viable {IC} Identification}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.28}, doi = {10.1109/ATS.2015.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimAJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimiMYIKY15, author = {Yuta Kimi and Go Matsukawa and Shuhei Yoshida and Shintaro Izumi and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Analysis of Soft Error Propagation Considering Masking Effects on Re-Convergent Path}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.31}, doi = {10.1109/ATS.2015.31}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimiMYIKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KinseherZP15, author = {Josef Kinseher and Leonardo Bonet Zordan and Ilia Polian}, title = {On the Use of Assist Circuits for Improved Coupling Fault Detection in SRAMs}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.18}, doi = {10.1109/ATS.2015.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KinseherZP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteDBOMW15, author = {Michael A. Kochte and Atefe Dalirsani and Andrea Bernabei and Martin Oma{\~{n}}a and Cecilia Metra and Hans{-}Joachim Wunderlich}, title = {Intermittent and Transient Fault Diagnosis on Sparse Code Signatures}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.34}, doi = {10.1109/ATS.2015.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteDBOMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinCR15, author = {Xijiang Lin and Wu{-}Tung Cheng and Janusz Rajski}, title = {On Improving Transition Test Set Quality to Detect {CMOS} Transistor Stuck-Open Faults}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.24}, doi = {10.1109/ATS.2015.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuA15, author = {Hejia Liu and Vishwani D. Agrawal}, title = {Securing {IEEE} 1687-2014 Standard Instrumentation Access by {LFSR} Key}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {91--96}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.23}, doi = {10.1109/ATS.2015.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuWLLL15, author = {Guopei Liu and Ying Wang and Sen Li and Huawei Li and Xiaowei Li}, title = {A Lightweight Timing Channel Protection for Shared Memory Controllers}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.17}, doi = {10.1109/ATS.2015.17}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiuWLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuTH15, author = {Shyue{-}Kung Lu and Cheng{-}Ju Tsai and Masaki Hashizume}, title = {Integration of Hard Repair Techniques with {ECC} for Enhancing Fabrication Yield and Reliability of Embedded Memories}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.16}, doi = {10.1109/ATS.2015.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MBS15, author = {Adithyalal P. M and Shankar Balachandran and Virendra Singh}, title = {A Soft Error Resilient Low Leakage {SRAM} Cell Design}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.30}, doi = {10.1109/ATS.2015.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MandalSCPC15, author = {Swagata Mandal and Suman Sau and Amlan Chakrabarti and Sushanta Kumar Pal and Subhasish Chattopadhyay}, title = {{FPGA} Implementation of High Speed Latency Optimized Optical Communication System Based on Orthogonal Concatenated Code}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {169--174}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.36}, doi = {10.1109/ATS.2015.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MandalSCPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MasudaNHF15, author = {Tetsuya Masuda and Jun Nishimaki and Toshinori Hosokawa and Hideo Fujiwara}, title = {A Test Generation Method for Data Paths Using Easily Testable Functional Time Expansion Models and Controller Augmentation}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.14}, doi = {10.1109/ATS.2015.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MasudaNHF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MondalDB15, author = {Joyati Mondal and Debesh K. Das and Bhargab B. Bhattacharya}, title = {Design-for-testability in reversible logic circuits based on bit-swapping}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {217--222}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.8125669}, doi = {10.1109/ATS.2015.8125669}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MondalDB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MrugalskiRSTW15, author = {Grzegorz Mrugalski and Janusz Rajski and Jedrzej Solecki and Jerzy Tyszer and Chen Wang}, title = {TestExpress - New Time-Effective Scan-Based Deterministic Test Paradigm}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.11}, doi = {10.1109/ATS.2015.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MrugalskiRSTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NuthakkiC15, author = {Srinivasa Shashank Nuthakki and Santanu Chattopadhyay}, title = {An Integrated Approach for Improving Compression and Diagnostic Properties of Test Sets}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.33}, doi = {10.1109/ATS.2015.33}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/NuthakkiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PrasanthPA15, author = {V. Prasanth and Rubin A. Parekhji and Bharadwaj S. Amrutur}, title = {Improved Methods for Accurate Safety Analysis of Real-Life Systems}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {175--180}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.37}, doi = {10.1109/ATS.2015.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PrasanthPA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Seo0LLYKK15, author = {Sungyoul Seo and Yong Lee and Hyeonchan Lim and Joohwan Lee and Hongbom Yoo and Yojoung Kim and Sungho Kang}, title = {Scan Chain Reordering-Aware X-Filling and Stitching for Scan Shift Power Reduction}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.8}, doi = {10.1109/ATS.2015.8}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Seo0LLYKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShibinCKPM15, author = {Konstantin Shibin and Vivek Chickermane and Brion L. Keller and Christos Papameletis and Erik Jan Marinissen}, title = {At-Speed Testing of Inter-Die Connections of 3D-SICs in the Presence of Shore Logic}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.21}, doi = {10.1109/ATS.2015.21}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShibinCKPM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SinghSS15, author = {Virendra Singh and Adit D. Singh and Kewal K. Saluja}, title = {A Methodology for Identifying High Timing Variability Paths in Complex Designs}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.27}, doi = {10.1109/ATS.2015.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SinghSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YoshimuraTYH15, author = {Masayoshi Yoshimura and Yoshiyasu Takahashi and Hiroshi Yamazaki and Toshinori Hosokawa}, title = {A Don't Care Filling Method to Reduce Capture Power Based on Correlation of {FF} Transitions}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.10}, doi = {10.1109/ATS.2015.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YoshimuraTYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhouLWLWL15, author = {Jun Zhou and Huawei Li and Tiancheng Wang and Sen Li and Ying Wang and Xiaowei Li}, title = {TWiN: {A} Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {85--90}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.22}, doi = {10.1109/ATS.2015.22}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhouLWLWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2015, title = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7421875/proceeding}, isbn = {978-1-4673-9739-1}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AliYYHI14, author = {Yussuf Ali and Yuta Yamato and Tomokazu Yoneda and Kazumi Hatayama and Michiko Inoue}, title = {Parallel Path Delay Fault Simulation for Multi/Many-Core Processors with {SIMD} Units}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {292--297}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.61}, doi = {10.1109/ATS.2014.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AliYYHI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BanerjeeGCA14, author = {Suvadeep Banerjee and {\'{A}}lvaro G{\'{o}}mez{-}Pau and Abhijit Chatterjee and Jacob A. Abraham}, title = {Error Resilient Real-Time State Variable Systems for Signal Processing and Control}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {39--44}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.19}, doi = {10.1109/ATS.2014.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BanerjeeGCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BiGHNYJ14, author = {Yu Bi and Pierre{-}Emmanuel Gaillardon and Xiaobo Sharon Hu and Michael T. Niemier and Jiann{-}Shiun Yuan and Yier Jin}, title = {Leveraging Emerging Technology for Hardware Security - Case Study on Silicon Nanowire FETs and Graphene SymFETs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {342--347}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.69}, doi = {10.1109/ATS.2014.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BiGHNYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaG14, author = {Byeongju Cha and Sandeep K. Gupta}, title = {A Resizing Method to Minimize Effects of Hardware Trojans}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {192--199}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.44}, doi = {10.1109/ATS.2014.44}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChaG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChandraCK14, author = {Anshuman Chandra and Subramanian Chebiyam and Rohit Kapur}, title = {A Case Study on Implementing Compressed {DFT} Architecture}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {336--341}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.68}, doi = {10.1109/ATS.2014.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChandraCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chen14, author = {Harry H. Chen}, title = {Perspectives on Test Data Mining from Industrial Experience}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {242--247}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.52}, doi = {10.1109/ATS.2014.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chen14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenL14, author = {Yong{-}Xiao Chen and Jin{-}Fu Li}, title = {Testing of Non-volatile Logic-Based System Chips}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {224--229}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.49}, doi = {10.1109/ATS.2014.49}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChenL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengL014, author = {Yun Cheng and Huawei Li and Xiaowei Li}, title = {An On-Line Timing Error Detection Method for Silicon Debug}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {263--268}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.63}, doi = {10.1109/ATS.2014.63}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChengL014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengWGG14, author = {Da Cheng and Fangzhou Wang and Feng Gao and Sandeep K. Gupta}, title = {Optimal Redundancy Designs for CNFET-Based Circuits}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.17}, doi = {10.1109/ATS.2014.17}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChengWGG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DalirsaniHIESRW14, author = {Atefe Dalirsani and Nadereh Hatami and Michael E. Imhof and Marcus Eggenberger and Gert Schley and Martin Radetzki and Hans{-}Joachim Wunderlich}, title = {On Covering Structural Defects in NoCs by Functional Tests}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {87--92}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.27}, doi = {10.1109/ATS.2014.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DalirsaniHIESRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeyatiMSC14, author = {Sabyasachi Deyati and Barry John Muldrey and Adit D. Singh and Abhijit Chatterjee}, title = {High Resolution Pulse Propagation Driven Trojan Detection in Digital Logic: Optimization Algorithms and Infrastructure}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {200--205}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.45}, doi = {10.1109/ATS.2014.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeyatiMSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ErbSSRB14, author = {Dominik Erb and Karsten Scheibler and Matthias Sauer and Sudhakar M. Reddy and Bernd Becker}, title = {Circuit Parameter Independent Test Pattern Generation for Interconnect Open Defects}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.34}, doi = {10.1109/ATS.2014.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ErbSSRB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FanXZ14, author = {Junfeng Fan and Hua Xie and Yiwei Zhang}, title = {On the Use of Scan Chain to Improve Physical Attacks (Extended Abstract)}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {354--357}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.71}, doi = {10.1109/ATS.2014.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FanXZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FirouziYKCT14, author = {Farshad Firouzi and Fangming Ye and Saman Kiamehr and Krishnendu Chakrabarty and Mehdi Baradaran Tahoori}, title = {Adaptive Mitigation of Parameter Variations}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {51--56}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.21}, doi = {10.1109/ATS.2014.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FirouziYKCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GentH14, author = {Kelson Gent and Michael S. Hsiao}, title = {Dual-Purpose Mixed-Level Test Generation Using Swarm Intelligence}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {230--235}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.50}, doi = {10.1109/ATS.2014.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GentH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Gizdarski14, author = {Emil Gizdarski}, title = {Two-Step Dynamic Encoding for Linear Decompressors}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {330--335}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.67}, doi = {10.1109/ATS.2014.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Gizdarski14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HanCOK14, author = {Taewoo Han and Inhyuk Choi and Hyunggoy Oh and Sungho Kang}, title = {A Scalable and Parallel Test Access Strategy for NoC-Based Multicore System}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {81--86}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.26}, doi = {10.1109/ATS.2014.26}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HanCOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Hashimoto14, author = {Masanori Hashimoto}, title = {Opportunities and Verification Challenges of Run-Time Performance Adaptation}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {248--253}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.53}, doi = {10.1109/ATS.2014.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Hashimoto14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HoseyRXFT14, author = {Alison Hosey and Md. Tauhidur Rahman and Kan Xiao and Domenic Forte and Mohammad Tehranipoor}, title = {Advanced Analysis of Cell Stability for Reliable {SRAM} PUFs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {348--353}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.70}, doi = {10.1109/ATS.2014.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HoseyRXFT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangLZTC14, author = {Shi{-}Yu Huang and Hua{-}Xuan Li and Zeng{-}Fu Zeng and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {On-Line Transition-Time Monitoring for Die-to-Die Interconnects in 3D ICs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.39}, doi = {10.1109/ATS.2014.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangLZTC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HungLH14, author = {Shao{-}Feng Hung and Long{-}Yi Lin and Hao{-}Chiao Hong}, title = {A Cost-Effective Stimulus Generator for Battery Channel Characterization in Electric Vehicles}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {63--67}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.23}, doi = {10.1109/ATS.2014.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HungLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JiaoF14, author = {Jiajia Jiao and Yuzhuo Fu}, title = {A Heuristically Mechanical Model for Accurate and Fast Soft Error Analysis}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.18}, doi = {10.1109/ATS.2014.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JiaoF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JutmanRW14, author = {Artur Jutman and Matteo Sonza Reorda and Hans{-}Joachim Wunderlich}, title = {High Quality System Level Test and Diagnosis}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {298--305}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.62}, doi = {10.1109/ATS.2014.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JutmanRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KajiharaMSM14, author = {Seiji Kajihara and Yousuke Miyake and Yasuo Sato and Yukiya Miura}, title = {An On-Chip Digital Environment Monitor for Field Test}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {254--257}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.54}, doi = {10.1109/ATS.2014.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KajiharaMSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KumarMVPTR14, author = {Chandan Kumar and Fadi Maamari and Kiran Vittal and Wilson Pradeep and Rajesh Tiwari and Srivaths Ravi}, title = {Methodology for Early {RTL} Testability and Coverage Analysis and Its Application to Industrial Designs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.33}, doi = {10.1109/ATS.2014.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KumarMVPTR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiDHC14, author = {Zipeng Li and Trung Anh Dinh and Tsung{-}Yi Ho and Krishnendu Chakrabarty}, title = {Reliability-Driven Pipelined Scan-Like Testing of Digital Microfluidic Biochips}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.22}, doi = {10.1109/ATS.2014.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiDHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiWWHHGC14, author = {Katherine Shu{-}Min Li and Sying{-}Jyan Wang and Jia{-}Lin Wu and Cheng{-}You Ho and Yingchieh Ho and Ruei{-}Ting Gu and Bo{-}Chuan Cheng}, title = {Optimized Pre-bond Test Methodology for Silicon Interposer Testing}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.15}, doi = {10.1109/ATS.2014.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiWWHHGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiangWHY14, author = {Huaguo Liang and Zhi Wang and Zhengfeng Huang and Aibin Yan}, title = {Design of a Radiation Hardened Latch for Low-Power Circuits}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.16}, doi = {10.1109/ATS.2014.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiangWHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinHC14, author = {Fan Lin and Chun{-}Kai Hsu and Kwang{-}Ting Cheng}, title = {Learning from Production Test Data: Correlation Exploration and Feature Engineering}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {236--241}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.51}, doi = {10.1109/ATS.2014.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LingJ14, author = {Li Ling and Jianhui Jiang}, title = {Exploit Dynamic Voltage and Frequency Scaling for SoC Test Scheduling under Thermal Constraints}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {180--185}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.36}, doi = {10.1109/ATS.2014.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LingJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuJLHK14, author = {Shyue{-}Kung Lu and Hao{-}Cheng Jheng and Hao{-}Wei Lin and Masaki Hashizume and Seiji Kajihara}, title = {Built-In Scrambling Analysis for Yield Enhancement of Embedded Memories}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.41}, doi = {10.1109/ATS.2014.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuJLHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MilewskiMRT14, author = {Sylwester Milewski and Grzegorz Mrugalski and Janusz Rajski and Jerzy Tyszer}, title = {Low Power Test Compression with Programmable Broadcast-Based Control}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {174--179}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.35}, doi = {10.1109/ATS.2014.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MilewskiMRT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MitsuyamaO14, author = {Yukio Mitsuyama and Hidetoshi Onodera}, title = {Variability and Soft-Error Resilience in Dependable {VLSI} Platform}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {45--50}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.20}, doi = {10.1109/ATS.2014.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MitsuyamaO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MiyakeSKM14, author = {Yousuke Miyake and Yasuo Sato and Seiji Kajihara and Yukiya Miura}, title = {Temperature and Voltage Estimation Using Ring-Oscillator-Based Monitor for Field Test}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {156--161}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.38}, doi = {10.1109/ATS.2014.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MiyakeSKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MondalKDR14, author = {Bappaditya Mondal and Dipak Kumar Kole and Debesh Kumar Das and Hafizur Rahaman}, title = {Generator for Test Set Construction of {SMGF} in Reversible Circuit by Boolean Difference Method}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {68--73}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.24}, doi = {10.1109/ATS.2014.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MondalKDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MoreiraWIRFY14, author = {Jose Moreira and Hubert Werkmann and Masahiro Ishida and Bernhard Roth and Volker Filsinger and Sui{-}Xia Yang}, title = {An {ATE} Based 32 Gbaud {PAM-4} At-Speed Characterization and Testing Solution}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {218--223}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.48}, doi = {10.1109/ATS.2014.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MoreiraWIRFY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NagataFM14, author = {Makoto Nagata and Daisuke Fujimoto and Noriyuki Miura}, title = {On-Chip Monitoring for In-Place Diagnosis of Undesired Power Domain Problems in {IC} Chips}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {258--262}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.55}, doi = {10.1109/ATS.2014.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NagataFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PorcheB14, author = {John A. Porche and R. D. (Shawn) Blanton}, title = {Physically-Aware Diagnostic Resolution}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.46}, doi = {10.1109/ATS.2014.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PorcheB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QiuY014, author = {Jibing Qiu and Guihai Yan and Xiaowei Li}, title = {On-Chip Delay Sensor for Environments with Large Temperature Fluctuations}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.65}, doi = {10.1109/ATS.2014.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/QiuY014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RenaudBMS14, author = {Guillaume Renaud and Manuel J. Barrag{\'{a}}n and Salvador Mir and Marc Sabut}, title = {On-Chip Implementation of an Integrator-Based Servo-Loop for {ADC} Static Linearity Test}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.47}, doi = {10.1109/ATS.2014.47}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/RenaudBMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SadiCEKBT14, author = {Mehdi Sadi and Zoe Conroy and Bill Eklow and Matthias Kamm and Nematollah Bidokhti and Mark Mohammad Tehranipoor}, title = {An All Digital Distributed Sensor Network Based Framework for Continuous Noise Monitoring and Timing Failure Analysis in SoCs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {269--274}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.64}, doi = {10.1109/ATS.2014.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SadiCEKBT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShenXJ14, author = {Kele Shen and Dong Xiang and Zhou Jiang}, title = {Dual-Speed {TAM} Optimization of 3D SoCs for Mid-bond and Post-bond Testing}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.14}, doi = {10.1109/ATS.2014.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShenXJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShiN14, author = {Xiaobing Shi and Nicola Nicolici}, title = {On Supporting Sequential Constraints for On-Chip Generation of Post-silicon Validation Stimuli}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {107--112}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.30}, doi = {10.1109/ATS.2014.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShiN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SunBDGVA14, author = {Zhenzhou Sun and Alberto Bosio and Luigi Dilillo and Patrick Girard and Arnaud Virazel and Etienne Auvray}, title = {On the Generation of Diagnostic Test Set for Intra-cell Defects}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {312--317}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.57}, doi = {10.1109/ATS.2014.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SunBDGVA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangBRCHHTCS14, author = {Huaxing Tang and Brady Benware and Michael Reese and Joseph Caroselli and Thomas Herrmann and Friedrich Hapke and Robert Tao and Wu{-}Tung Cheng and Manish Sharma}, title = {Diagnosing Cell Internal Defects Using Analog Simulation-Based Fault Models}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {318--323}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.58}, doi = {10.1109/ATS.2014.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangBRCHHTCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TenentesKAZY14, author = {Vasileios Tenentes and S. Saqib Khursheed and Bashir M. Al{-}Hashimi and Shida Zhong and Sheng Yang}, title = {High Quality Testing of Grid Style Power Gating}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {186--191}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.37}, doi = {10.1109/ATS.2014.37}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/TenentesKAZY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TrawkaMMPRJT14, author = {Maciej Trawka and Grzegorz Mrugalski and Nilanjan Mukherjee and Artur Pogiel and Janusz Rajski and Jakub Janicki and Jerzy Tyszer}, title = {High-Speed Serial Embedded Deterministic Test for System-on-Chip Designs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {74--80}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.25}, doi = {10.1109/ATS.2014.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TrawkaMMPRJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Tsai14, author = {Kun{-}Han Tsai}, title = {Testability-Driven Fault Sampling for Deterministic Test Coverage Estimation of Large Designs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.32}, doi = {10.1109/ATS.2014.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Tsai14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangCHH14, author = {Chung{-}Yun Wang and Yu{-}Yi Chen and Jiun{-}Lang Huang and Xuan{-}Lun Huang}, title = {FPGA-Based Subset Sum Delay Lines}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {287--291}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.60}, doi = {10.1109/ATS.2014.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangKL14, author = {Sying{-}Jyan Wang and Che{-}Wei Kao and Katherine Shu{-}Min Li}, title = {Improving Output Compaction Efficiency with High Observability Scan Chains}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {324--329}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.66}, doi = {10.1109/ATS.2014.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuL14, author = {Cheng{-}Hung Wu and Kuen{-}Jong Lee}, title = {An Efficient Diagnosis Pattern Generation Procedure to Distinguish Stuck-at Faults and Bridging Faults}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {306--311}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.56}, doi = {10.1109/ATS.2014.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuLYHYKCL14, author = {Kuan{-}Te Wu and Jin{-}Fu Li and Yun{-}Chao Yu and Chih{-}Sheng Hou and Chi{-}Chun Yang and Ding{-}Ming Kwai and Yung{-}Fa Chou and Chih{-}Yen Lo}, title = {Intra-channel Reconfigurable Interface for {TSV} and Micro Bump Fault Tolerance in 3-D RAMs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.42}, doi = {10.1109/ATS.2014.42}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WuLYHYKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XueB14, author = {Cheng Xue and R. D. (Shawn) Blanton}, title = {Predicting {IC} Defect Level Using Diagnosis}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {113--118}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.31}, doi = {10.1109/ATS.2014.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XueB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YamaguchiTKA14, author = {Takahiro J. Yamaguchi and James S. Tandon and Satoshi Komatsu and Kunihiro Asada}, title = {A Novel Circuit for Transition-Edge Detection: Using a Stochastic Comparator Group to Test Transition-Edge}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {168--173}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.40}, doi = {10.1109/ATS.2014.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YamaguchiTKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangCGWN14, author = {Fan Yang and Sreejit Chakravarty and Arun Gunda and Nicole Wu and Jianyu Ning}, title = {Silicon Evaluation of Cell-Aware {ATPG} Tests and Small Delay Tests}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.29}, doi = {10.1109/ATS.2014.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangCGWN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YouYLLCLKCW14, author = {Yun{-}Chao You and Chi{-}Chun Yang and Jin{-}Fu Li and Chih{-}Yen Lo and Chao{-}Hsun Chen and Jenn{-}Shiang Lai and Ding{-}Ming Kwai and Yung{-}Fa Chou and Cheng{-}Wen Wu}, title = {BIST-Assisted Tuning Scheme for Minimizing IO-Channel Power of TSV-Based 3D DRAMs}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.13}, doi = {10.1109/ATS.2014.13}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YouYLLCLKCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZadeganLJDK14, author = {Farrokh Ghani Zadegan and Erik Larsson and Artur Jutman and Sergei Devadze and Rene Krenz{-}Baath}, title = {Design, Verification, and Application of {IEEE} 1687}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {93--100}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.28}, doi = {10.1109/ATS.2014.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZadeganLJDK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangG14, author = {Jizhe Zhang and Sandeep Gupta}, title = {{SRAM} Array Yield Estimation under Spatially-Correlated Process Variation}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {149--155}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.43}, doi = {10.1109/ATS.2014.43}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhangG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZouHS14, author = {Jie Zou and Chao Han and Adit D. Singh}, title = {Timing Evaluation Tests for Scan Enable Signals with Application to {TDF} Testing}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {281--286}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.59}, doi = {10.1109/ATS.2014.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZouHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2014, title = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6975725/proceeding}, isbn = {978-1-4799-6030-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Ang13, author = {Chin Hai Ang}, title = {Single Test Clock with Programmable Clock Enable Constraints for Multi-clock Domain SoC {ATPG} Testing}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {195--200}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.44}, doi = {10.1109/ATS.2013.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Ang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BaiHWCCLCL13, author = {Bing{-}Chuan Bai and Chun{-}Lung Hsu and Ming{-}Hsueh Wu and Chen{-}An Chen and Yee{-}Wen Chen and Kun{-}Lun Luo and Liang{-}Chia Cheng and James Chien{-}Mo Li}, title = {Back-End-of-Line Defect Analysis for Rnv8T Nonvolatile {SRAM}}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {123--127}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.32}, doi = {10.1109/ATS.2013.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BaiHWCCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BanerjeeCKC13, author = {Suvadeep Banerjee and Hyun Woo Choi and David C. Keezer and Abhijit Chatterjee}, title = {Enhanced Resolution Time-Domain Reflectometry for High Speed Channels: Characterizing Spatial Discontinuities with Non-ideal Stimulus}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {277--282}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.58}, doi = {10.1109/ATS.2013.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BanerjeeCKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BaoTC13, author = {Fang Bao and Mohammad Tehranipoor and Harry H. Chen}, title = {Worst-Case Critical-Path Delay Analysis Considering Power-Supply Noise}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.17}, doi = {10.1109/ATS.2013.17}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/BaoTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BaranowskiKW13, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Securing Access to Reconfigurable Scan Networks}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {295--300}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.61}, doi = {10.1109/ATS.2013.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BaranowskiKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BernardiCRH13, author = {Paolo Bernardi and Lyl M. Ciganda and Matteo Sonza Reorda and Said Hamdioui}, title = {An Efficient Method for the Test of Embedded Memory Cores during the Operational Phase}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {227--232}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.50}, doi = {10.1109/ATS.2013.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BernardiCRH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BhattaTHC13, author = {Debesh Bhatta and Nicholas Tzou and Sen{-}Wen Hsiao and Abhijit Chatterjee}, title = {Time Domain Reconstruction of Incoherently Undersampled Periodic Waveforms Using Bandwidth Interleaving}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.59}, doi = {10.1109/ATS.2013.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BhattaTHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChanNCLY13, author = {Koay Soon Chan and Nuzrul Fahmi Nordin and Kim Chon Chan and Terk Zyou Lok and Chee Wai Yong}, title = {Multi-histogram {ADC} {BIST} System for {ADC} Linearity Testing}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {213--214}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.47}, doi = {10.1109/ATS.2013.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChanNCLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenCHWLBC13, author = {Chen{-}An Chen and Yee{-}Wen Chen and Chun{-}Lung Hsu and Ming{-}Hsueh Wu and Kun{-}Lun Luo and Bing{-}Chuan Bai and Liang{-}Chia Cheng}, title = {Cost-Effective TAP-Controlled Serialized Compressed Scan Architecture for 3D Stacked ICs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {107--108}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.29}, doi = {10.1109/ATS.2013.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenCHWLBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenT13, author = {Jifeng Chen and Mohammad Tehranipoor}, title = {Critical Paths Selection and Test Cost Reduction Considering Process Variations}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {259--264}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.55}, doi = {10.1109/ATS.2013.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengH0CZGG13, author = {Da Cheng and Hsunwei Hsiung and Bin Liu and Jianing Chen and Jia Zeng and Ramesh Govindan and Sandeep K. Gupta}, title = {A New March Test for Process-Variation Induced Delay Faults in SRAMs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {115--122}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.31}, doi = {10.1109/ATS.2013.31}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChengH0CZGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DuttaKC13, author = {Arpita Dutta and Subhadip Kundu and Santanu Chattopadhyay}, title = {Thermal Aware Don't Care Filling to Reduce Peak Temperature and Thermal Variance during Testing}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.15}, doi = {10.1109/ATS.2013.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DuttaKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Eggersglussv13, author = {Stephan Eggersgl{\"{u}}{\ss}}, title = {Peak Capture Power Reduction for Compact Test Sets Using Opt-Justification-Fill}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.16}, doi = {10.1109/ATS.2013.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Eggersglussv13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ErbKSWB13, author = {Dominik Erb and Michael A. Kochte and Matthias Sauer and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Accurate Multi-cycle {ATPG} in Presence of X-Values}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {245--250}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.53}, doi = {10.1109/ATS.2013.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ErbKSWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FukazawaIII13, author = {Yuki Fukazawa and Tsuyoshi Iwagaki and Hideyuki Ichihara and Tomoo Inoue}, title = {A Transient Fault Tolerant Test Pattern Generator for On-line Built-in Self-Test}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {85--90}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.24}, doi = {10.1109/ATS.2013.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FukazawaIII13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GentH13, author = {Kelson Gent and Michael S. Hsiao}, title = {Functional Test Generation at the {RTL} Using Swarm Intelligence and Bounded Model Checking}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {233--238}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.51}, doi = {10.1109/ATS.2013.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GentH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HanS13, author = {Chao Han and Adit D. Singh}, title = {Hazard Initialized {LOC} Tests for {TDF} Undetectable {CMOS} Open Defects}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {189--194}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.43}, doi = {10.1109/ATS.2013.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HanS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HashizumeKYL13, author = {Masaki Hashizume and Tomoaki Konishi and Hiroyuki Yotsuyanagi and Shyue{-}Kung Lu}, title = {Testable Design for Electrical Testing of Open Defects at Interconnects in 3D ICs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.13}, doi = {10.1109/ATS.2013.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HashizumeKYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HoLW13, author = {Yingchieh Ho and Katherine Shu{-}Min Li and Sying{-}Jyan Wang}, title = {Leakage Monitoring Technique in Near-Threshold Systems with a Time-Based Bootstrapped Ring Oscillator}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {91--96}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.25}, doi = {10.1109/ATS.2013.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HoLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HouL13, author = {Chih{-}Sheng Hou and Jin{-}Fu Li}, title = {Testing Disturbance Faults in Various {NAND} Flash Memories}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {221--226}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.49}, doi = {10.1109/ATS.2013.49}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HouL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiaoWC13, author = {Sen{-}Wen Hsiao and Xian Wang and Abhijit Chatterjee}, title = {Analog Sensor Based Testing of Phase-Locked Loop Dynamic Performance Parameters}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {50--55}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.19}, doi = {10.1109/ATS.2013.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiaoWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehPK13, author = {Tong{-}Yu Hsieh and Yi{-}Han Peng and Chia{-}Chi Ku}, title = {An Efficient Test Methodology for Image Processing Applications Based on Error-Tolerance}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.60}, doi = {10.1109/ATS.2013.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiungC0GG13, author = {Hsunwei Hsiung and Da Cheng and Bin Liu and Ramesh Govindan and Sandeep K. Gupta}, title = {Interplay of Failure Rate, Performance, and Test Cost in {TCAM} under Process Variations}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {251--258}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.54}, doi = {10.1109/ATS.2013.54}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HsiungC0GG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsuHHL13, author = {Shuo{-}You Hsu and Chih{-}Hsiang Hsu and Ting{-}Shuo Hsu and Jing{-}Jia Liou}, title = {A Region-Based Framework for Design Feature Identification of Systematic Process Variations}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {265--270}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.56}, doi = {10.1109/ATS.2013.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsuHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangHTCS13, author = {Li{-}Ren Huang and Shi{-}Yu Huang and Kun{-}Han Tsai and Wu{-}Tung Cheng and Stephen K. Sunter}, title = {Mid-bond Interposer Wire Test}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {153--158}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.37}, doi = {10.1109/ATS.2013.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangHTCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JiangACARP13, author = {Jie Jiang and Marina Aparicio and Mariane Comte and Florence Aza{\"{\i}}s and Michel Renovell and Ilia Polian}, title = {{MIRID:} Mixed-Mode IR-Drop Induced Delay Simulator}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {177--182}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.41}, doi = {10.1109/ATS.2013.41}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/JiangACARP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KangLCK13, author = {Wooheon Kang and Changwook Lee and Keewon Cho and Sungho Kang}, title = {A Die Selection and Matching Method with Two Stages for Yield Enhancement of 3-D Memories}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {301--306}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.62}, doi = {10.1109/ATS.2013.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KangLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatohDIKLT13, author = {Kentaroh Katoh and Yuta Doi and Satoshi Ito and Haruo Kobayashi and Ensi Li and Nobukazu Takai}, title = {An Analysis of Stochastic Self-Calibration of {TDC} Using Two Ring Oscillators}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {140--146}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.35}, doi = {10.1109/ATS.2013.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatohDIKLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KumarRRR13, author = {Amit Kumar and Janusz Rajski and Sudhakar M. Reddy and Thomas Rinderknecht}, title = {On the Generation of Compact Deterministic Test Sets for {BIST} Ready Designs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {201--206}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.45}, doi = {10.1109/ATS.2013.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KumarRRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeA13, author = {Hsun{-}Cheng Lee and Jacob A. Abraham}, title = {Digital Calibration for 8-Bit Delay Line {ADC} Using Harmonic Distortion Correction}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {128--133}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.33}, doi = {10.1109/ATS.2013.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiHGWHHCL13, author = {Katherine Shu{-}Min Li and Cheng{-}You Ho and Ruei{-}Ting Gu and Sying{-}Jyan Wang and Yingchieh Ho and Jiun{-}Jie Huang and Bo{-}Chuan Cheng and An{-}Ting Liu}, title = {A Layout-Aware Test Methodology for Silicon Interposer in System-in-a-Package}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {159--164}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.38}, doi = {10.1109/ATS.2013.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiHGWHHCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiQZLY13, author = {Guoliang Li and Jun Qian and Yuan Zuo and Rui Li and Qinfu Yang}, title = {Scan Test Data Volume Reduction for SoC Designs in {EDT} Environment}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {103--104}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.27}, doi = {10.1109/ATS.2013.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiQZLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LienLHC13, author = {Wei{-}Cheng Lien and Kuen{-}Jong Lee and Tong{-}Yu Hsieh and Krishnendu Chakrabarty}, title = {A New {LFSR} Reseeding Scheme via Internal Response Feedback}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.26}, doi = {10.1109/ATS.2013.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LienLHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinH13, author = {Long{-}Yi Lin and Hao{-}Chiao Hong}, title = {Design of a Fault-Injectable Fleischer-Laker Switched-Capacitor Biquad for Verifying the Static Linear Behavior Fault Model}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {62--66}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.20}, doi = {10.1109/ATS.2013.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinLW13, author = {Bing{-}Yang Lin and Mincent Lee and Cheng{-}Wen Wu}, title = {Exploration Methodology for 3D Memory Redundancy Architectures under Redundancy Constraints}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.11}, doi = {10.1109/ATS.2013.11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LinLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuJHHN13, author = {Shyue{-}Kung Lu and Hao{-}Cheng Jheng and Masaki Hashizume and Jiun{-}Lang Huang and Pony Ning}, title = {Fault Scrambling Techniques for Yield Enhancement of Embedded Memories}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {215--220}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.48}, doi = {10.1109/ATS.2013.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuJHHN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MillicanS13, author = {Spencer K. Millican and Kewal K. Saluja}, title = {Formulating Optimal Test Scheduling Problem with Dynamic Voltage and Frequency Scaling}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {165--170}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.39}, doi = {10.1109/ATS.2013.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MillicanS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MiyaseSBWK13, author = {Kohei Miyase and Matthias Sauer and Bernd Becker and Xiaoqing Wen and Seiji Kajihara}, title = {Search Space Reduction for Low-Power Test Generation}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {171--176}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.40}, doi = {10.1109/ATS.2013.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MiyaseSBWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MoreiraRWKHBOL13, author = {Jose Moreira and Bernhard Roth and Hubert Werkmann and Lars Klapproth and Michael Howieson and Mark Broman and Wend Ouedraogo and Mitchell Lin}, title = {An Active Test Fixture Approach for 40 Gbps and Above At-Speed Testing Using a Standard {ATE} System}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {271--276}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.57}, doi = {10.1109/ATS.2013.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MoreiraRWKHBOL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NikaidoFSNS13, author = {Masafumi Nikaido and Yukihisa Funatsu and Tetsuya Seiyama and Junpei Nonaka and Kazuki Shigeta}, title = {Failure Localization of Logic Circuits Using Voltage Contrast Considering State of Transistors}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.21}, doi = {10.1109/ATS.2013.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NikaidoFSNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SarsonSS13, author = {Peter Sarson and Gregor Schatzberger and Robert Seitz}, title = {Automotive {EEPROM} Qualification and Cost Optimization}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {105--106}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.28}, doi = {10.1109/ATS.2013.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SarsonSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatoK13, author = {Yasuo Sato and Seiji Kajihara}, title = {A Stochastic Model for NBTI-Induced {LSI} Degradation in Field}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {183--188}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.42}, doi = {10.1109/ATS.2013.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatoK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShihHLLWC13, author = {Chi{-}Jih Shih and Shih{-}An Hsieh and Yi{-}Chang Lu and James Chien{-}Mo Li and Tzong{-}Lin Wu and Krishnendu Chakrabarty}, title = {Test Generation of Path Delay Faults Induced by Defects in Power {TSV}}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.18}, doi = {10.1109/ATS.2013.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShihHLLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TomitaWSKGTW13, author = {Akihiro Tomita and Xiaoqing Wen and Yasuo Sato and Seiji Kajihara and Patrick Girard and Mohammad Tehranipoor and Laung{-}Terng Wang}, title = {On Achieving Capture Power Safety in At-Speed Scan-Based Logic {BIST}}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.14}, doi = {10.1109/ATS.2013.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TomitaWSKGTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TsaiL13, author = {Kun{-}Han Tsai and Xijiang Lin}, title = {Multicycle-aware At-speed Test Methodology}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {49}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.66}, doi = {10.1109/ATS.2013.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TsaiL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/VatajeluDBGTVB13, author = {Elena I. Vatajelu and Luigi Dilillo and Alberto Bosio and Patrick Girard and Aida Todri and Arnaud Virazel and Nabil Badereddine}, title = {Adaptive Source Bias for Improved Resistive-Open Defect Coverage during {SRAM} Testing}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.30}, doi = {10.1109/ATS.2013.30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/VatajeluDBGTVB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangCE13, author = {Ran Wang and Krishnendu Chakrabarty and Bill Eklow}, title = {Post-bond Testing of the Silicon Interposer and Micro-bumps in 2.5D ICs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {147--152}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.36}, doi = {10.1109/ATS.2013.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangCE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangKSC13, author = {Xian Wang and Blanchard Kenfack and Estella Silva and Abhijit Chatterjee}, title = {Built-In Test of Switched-Mode Power Converters: Avoiding {DUT} Damage Using Alternative Safe Measurements}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {56--61}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.63}, doi = {10.1109/ATS.2013.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangKSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Xiang13, author = {Dong Xiang}, title = {A Cost-Effective Scheme for Network-on-Chip Router and Interconnect Testing}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {207--212}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.46}, doi = {10.1109/ATS.2013.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Xiang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YamazakiTTHYHS13, author = {Koji Yamazaki and Toshiyuki Tsutsumi and Hiroshi Takahashi and Yoshinobu Higami and Hironobu Yotsuyanagi and Masaki Hashizume and Kewal K. Saluja}, title = {Diagnosing Resistive Open Faults Using Small Delay Fault Simulation}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.23}, doi = {10.1109/ATS.2013.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YamazakiTTHYHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangCL13, author = {Chi{-}Chun Yang and Che{-}Wei Chou and Jin{-}Fu Li}, title = {A {TSV} Repair Scheme Using Enhanced Test Access Architecture for 3-D ICs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.12}, doi = {10.1109/ATS.2013.12}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YangCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeJZCG13, author = {Fangming Ye and Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Handling Missing Syndromes in Board-Level Functional-Fault Diagnosis}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.22}, doi = {10.1109/ATS.2013.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YeJZCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YiWAKKKSK13, author = {Ru Yi and Minghui Wu and Koji Asami and Haruo Kobayashi and Ramin Khatami and Atsuhiro Katayama and Isao Shimizu and Kentaroh Katoh}, title = {Digital Compensation for Timing Mismatches in Interleaved ADCs}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {134--139}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.34}, doi = {10.1109/ATS.2013.34}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YiWAKKKSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhouWLL013, author = {Yanhong Zhou and Tiancheng Wang and Tao Lv and Huawei Li and Xiaowei Li}, title = {Path Constraint Solving Based Test Generation for Hard-to-Reach States}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {239--244}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.52}, doi = {10.1109/ATS.2013.52}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhouWLL013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2013, title = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6689800/proceeding}, isbn = {978-0-7695-5080-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AraiSI12, author = {Masayuki Arai and Yoshihiro Shimizu and Kazuhiko Iwasaki}, title = {Note on Layout-Aware Weighted Probabilistic Bridge Fault Coverage}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.53}, doi = {10.1109/ATS.2012.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AraiSI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AzevedoVBDGTPAM12, author = {Joao Azevedo and Arnaud Virazel and Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Guillaume Prenat and J{\'{e}}r{\'{e}}my Alvarez{-}Herault and Ken Mackay}, title = {Impact of Resistive-Bridge Defects in {TAS-MRAM} Architectures}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.37}, doi = {10.1109/ATS.2012.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AzevedoVBDGTPAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BaegBLLJN12, author = {Sanghyeon Baeg and Jongsun Bae and Soonyoung Lee and Chul Seung Lim and Sang Hoon Jeon and Hyeonwoo Nam}, title = {Soft Error Issues with Scaling Technologies}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {68}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.72}, doi = {10.1109/ATS.2012.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BaegBLLJN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BernardiCSRBDGV12, author = {Paolo Bernardi and Mauricio de Carvalho and Ernesto S{\'{a}}nchez and Matteo Sonza Reorda and Alberto Bosio and Luigi Dilillo and Patrick Girard and Miroslav Valka}, title = {Peak Power Estimation: {A} Case Study on {CPU} Cores}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.58}, doi = {10.1109/ATS.2012.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BernardiCSRBDGV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BhattaTCC12, author = {Debesh Bhatta and Nicholas Tzou and Hyun Woo Choi and Abhijit Chatterjee}, title = {Spectral Estimation Based Acquisition of Incoherently Under-sampled Periodic Signals: Application to Bandwidth Interleaving}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {196--201}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.65}, doi = {10.1109/ATS.2012.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BhattaTCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BidgoliNN12, author = {Hossein Sabaghian Bidgoli and Majid Namaki{-}Shoushtari and Zainalabedin Navabi}, title = {A Probabilistic and Constraint Based Approach for Low Power Test Generation}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {113--118}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.38}, doi = {10.1109/ATS.2012.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BidgoliNN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BosioDGTV12, author = {Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Arnaud Virazel}, title = {Why and How Controlling Power Consumption during Test: {A} Survey}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {221--226}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.30}, doi = {10.1109/ATS.2012.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BosioDGTV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaG12, author = {Byeongju Cha and Sandeep K. Gupta}, title = {Efficient Trojan Detection via Calibration of Process Variations}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {355--361}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.64}, doi = {10.1109/ATS.2012.64}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChaG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengK12, author = {Wu{-}Tung Cheng and Feng{-}Ming Kuo}, title = {Embedded Tutorial Summary: Diagnosis for Accelerating Yield and Failure Analysis}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {271}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.73}, doi = {10.1109/ATS.2012.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChouHH12, author = {Y.{-}H. Chou and Jiun{-}Lang Huang and Xuan{-}Lun Huang}, title = {A Built-In Characterization Technique for 1-Bit/Stage Pipelined {ADC}}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {284--289}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.21}, doi = {10.1109/ATS.2012.21}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChouHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CookUEWRD12, author = {Alejandro Cook and Dominik Ull and Melanie Elm and Hans{-}Joachim Wunderlich and Helmut Randoll and Stefan Dohren}, title = {Reuse of Structural Volume Test Methods for In-System Testing of Automotive ASICs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {214--219}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.32}, doi = {10.1109/ATS.2012.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CookUEWRD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CzutroIJMSBPW12, author = {Alexander Czutro and Michael E. Imhof and J. Jiang and Abdullah Mumtaz and Matthias Sauer and Bernd Becker and Ilia Polian and Hans{-}Joachim Wunderlich}, title = {Variation-Aware Fault Grading}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {344--349}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.14}, doi = {10.1109/ATS.2012.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CzutroIJMSBPW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DasBD12, author = {Sourasis Das and Ansuman Banerjee and Pallab Dasgupta}, title = {A Generalized Theory for Formal Assertion Coverage}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.20}, doi = {10.1109/ATS.2012.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DasBD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DehbashiF12, author = {Mehdi Dehbashi and G{\"{o}}rschwin Fey}, title = {Automated Post-Silicon Debugging of Failing Speedpaths}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.42}, doi = {10.1109/ATS.2012.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DehbashiF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeutschCPL12, author = {Sergej Deutsch and Krishnendu Chakrabarty and Shreepad Panth and Sung Kyu Lim}, title = {{TSV} Stress-Aware {ATPG} for 3D Stacked ICs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {31--36}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.61}, doi = {10.1109/ATS.2012.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeutschCPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DevittN12, author = {Simon J. Devitt and Kae Nemoto}, title = {Programming a Topological Quantum Computer}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.52}, doi = {10.1109/ATS.2012.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DevittN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EggersglussYC12, author = {Stephan Eggersgl{\"{u}}{\ss} and Mahmut Yilmaz and Krishnendu Chakrabarty}, title = {Robust Timing-Aware Test Generation Using Pseudo-Boolean Optimization}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {290--295}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.35}, doi = {10.1109/ATS.2012.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EggersglussYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FanSCR12, author = {Xiaoxin Fan and Manish Sharma and Wu{-}Tung Cheng and Sudhakar M. Reddy}, title = {Diagnosis of Cell Internal Defects with Multi-cycle Test Patterns}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.62}, doi = {10.1109/ATS.2012.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FanSCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FangL012, author = {Yuntan Fang and Huawei Li and Xiaowei Li}, title = {SoftPCM: Enhancing Energy Efficiency and Lifetime of Phase Change Memory in Video Applications via Approximate Write}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.57}, doi = {10.1109/ATS.2012.57}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/FangL012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FukuiNF12, author = {Munetoshi Fukui and Yasuhiko Nara and Junichi Fuse}, title = {Characteristics Variability Evaluation of Actual {LSI} Transistors with Nanoprobing}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {4}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.80}, doi = {10.1109/ATS.2012.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FukuiNF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GharehbaghiF12, author = {Amir Masoud Gharehbaghi and Masahiro Fujita}, title = {Error Model Free Automatic Design Error Correction of Complex Processors Using Formal Methods}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.44}, doi = {10.1109/ATS.2012.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GharehbaghiF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Gu12, author = {Xinli Gu}, title = {Session Summary {II:} Dependable {VLSI} for Product Reliability}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {67}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.85}, doi = {10.1109/ATS.2012.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Gu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Gu12a, author = {Xinli Gu}, title = {Session Summary {V:} Is Component Interconnection Test Enough for Board or System Test}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {270}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.84}, doi = {10.1109/ATS.2012.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Gu12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuarnieriFC12, author = {Valerio Guarnieri and Franco Fummi and Krishnendu Chakrabarty}, title = {Reduced-Complexity Transition-Fault Test Generation for Non-scan Circuits through High-Level Mutant Injection}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {302--307}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.47}, doi = {10.1109/ATS.2012.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuarnieriFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HolstSW12, author = {Stefan Holst and Eric Schneider and Hans{-}Joachim Wunderlich}, title = {Scan Test Power Simulation on GPGPUs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {155--160}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.23}, doi = {10.1109/ATS.2012.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HolstSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuG012, author = {Yu Hu and Xinli Gu and Xiaowei Li}, title = {In-Field Testing of {NAND} Flash Storage: Why and How?}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {69}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.71}, doi = {10.1109/ATS.2012.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuG012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IwataMMT12, author = {Hiroyuki Iwata and Yoichi Maeda and Jun Matsushima and Masahiro Takakura}, title = {An Effective At-Speed Scan Testing Approach Using Multiple-Timing Clock Waveforms}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.39}, doi = {10.1109/ATS.2012.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IwataMMT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JoMF12, author = {Satoshi Jo and Takeshi Matsumoto and Masahiro Fujita}, title = {SAT-Based Automatic Rectification and Debugging of Combinational Circuits with {LUT} Insertions}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.55}, doi = {10.1109/ATS.2012.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JoMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatoAWGYKKN12, author = {Keisuke Kato and Fumitaka Abe and Kazuyuki Wakabayashi and Chuan Gao and Takafumi Yamada and Haruo Kobayashi and Osamu Kobayashi and Kiichi Niitsu}, title = {Two-Tone Signal Generation for Communication Application {ADC} Testing}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.12}, doi = {10.1109/ATS.2012.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatoAWGYKKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KriegGSWBH12, author = {Armin Krieg and Johannes Grinschgl and Christian Steger and Reinhold Weiss and Holger Bock and Josef Haid}, title = {Hardware-Accelerated Workload Characterization for Power Modeling and Fault Injection}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.19}, doi = {10.1109/ATS.2012.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KriegGSWBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KuoHL12, author = {Jun{-}Hua Kuo and Ting{-}Shuo Hsu and Jing{-}Jia Liou}, title = {Test Cost Reduction for Performance Yield Recovery by Classification of Multiple-Clock Test Data}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {320--325}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.54}, doi = {10.1109/ATS.2012.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KuoHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LarssonZ12, author = {Erik Larsson and Farrokh Ghani Zadegan}, title = {Accessing Embedded DfT Instruments with {IEEE} {P1687}}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {71--76}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.74}, doi = {10.1109/ATS.2012.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LarssonZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiHCSXFF12, author = {Jianbo Li and Yu Huang and Wu{-}Tung Cheng and Chris Schuermyer and Dong Xiang and Eric Faehn and Ruth Farrugia}, title = {A Hybrid Flow for Memory Failure Bitmap Classification}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {314--319}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.16}, doi = {10.1109/ATS.2012.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiHCSXFF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiQLZ12, author = {Guoliang Li and Jun Qian and Peter Li and Greg Zuo}, title = {Multi-level {EDT} to Reduce Scan Channels in SoC Designs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {77--82}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.70}, doi = {10.1109/ATS.2012.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiQLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LienLH12, author = {Wei{-}Cheng Lien and Kuen{-}Jong Lee and Tong{-}Yu Hsieh}, title = {A Test-Per-Clock {LFSR} Reseeding Algorithm for Concurrent Reduction on Test Sequence Length and Test Data Volume}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {278--283}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.11}, doi = {10.1109/ATS.2012.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LienLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Lin12, author = {Xijiang Lin}, title = {Power Supply Droop and Its Impacts on Structural At-Speed Testing}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {239--244}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.63}, doi = {10.1109/ATS.2012.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Lin12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinHTC12, author = {Yu{-}Hsiang Lin and Shi{-}Yu Huang and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Programmable Leakage Test and Binning for TSVs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.13}, doi = {10.1109/ATS.2012.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinHTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinHW12, author = {Yi{-}Tsung Lin and Jiun{-}Lang Huang and Xiaoqing Wen}, title = {A Transition Isolation Scan Cell Design for Low Shift and Capture Power}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {107--112}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.29}, doi = {10.1109/ATS.2012.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinR12, author = {Xijiang Lin and Janusz Rajski}, title = {On Utilizing Test Cube Properties to Reduce Test Data Volume Further}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {83--88}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.41}, doi = {10.1109/ATS.2012.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuLN12, author = {Shyue{-}Kung Lu and Tsu{-}Lin Li and Pony Ning}, title = {Scrambling and Data Inversion Techniques for Yield Enhancement of NROM-Based ROMs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {308--313}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.36}, doi = {10.1109/ATS.2012.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MahfuzulO12, author = {Islam A. K. M. Mahfuzul and Hidetoshi Onodera}, title = {On-Chip Detection of Process Shift and Process Spread for Silicon Debugging and Model-Hardware Correlation}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {350--354}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.66}, doi = {10.1109/ATS.2012.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MahfuzulO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MeehlPZ12, author = {Dale Meehl and Bassilios Petrakis and Ping Zhang}, title = {{LBIST/ATPG} Technologies for On-Demand Digital Logic Testing in Automotive Circuits}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.24}, doi = {10.1109/ATS.2012.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MeehlPZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Meter12, author = {Rodney Van Meter}, title = {Counting Gates, Moving Qubits: Evaluating the Execution Cost of Quantum Circuits}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {50--54}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.67}, doi = {10.1109/ATS.2012.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Meter12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MillicanS12, author = {Spencer K. Millican and Kewal K. Saluja}, title = {Linear Programming Formulations for Thermal-Aware Test Scheduling of 3D-Stacked Integrated Circuits}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.28}, doi = {10.1109/ATS.2012.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MillicanS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MitraGRCB12, author = {Debasis Mitra and Sarmishtha Ghoshal and Hafizur Rahaman and Krishnendu Chakrabarty and Bhargab B. Bhattacharya}, title = {On-Line Error Detection in Digital Microfluidic Biochips}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {332--337}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.56}, doi = {10.1109/ATS.2012.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MitraGRCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Moreira12, author = {Jose Moreira}, title = {Design of a High Bandwidth Interposer for Performance Evaluation of {ATE} Test Fixtures at the {DUT} Socket}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {191--195}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.34}, doi = {10.1109/ATS.2012.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Moreira12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MoreiraRM12, author = {Jose Moreira and Bernhard Roth and Callum McCowan}, title = {An Active Test Fixture Approach for Testing 28 Gbps Applications Using a Lower Data Rate {ATE} System}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {264--269}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.33}, doi = {10.1109/ATS.2012.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MoreiraRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NakuraIA12, author = {Toru Nakura and Tetsuya Iizuka and Kunihiro Asada}, title = {Impact of All-Digital {PLL} on SoC Testing}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {252--257}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.22}, doi = {10.1109/ATS.2012.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NakuraIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NiiTIYFO12, author = {Koji Nii and Yasumasa Tsukamoto and Yuichiro Ishii and Makoto Yabuuchi and Hidehiro Fujiwara and Kazuyoshi Okamoto}, title = {A Test Screening Method for 28 nm {HK/MG} Single-Port and Dual-Port SRAMs Considering with Dynamic Stability and Read/Write Disturb Issues}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {246--251}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.59}, doi = {10.1109/ATS.2012.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NiiTIYFO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NiitsuYIK12, author = {Kiichi Niitsu and Takahiro J. Yamaguchi and Masahiro Ishida and Haruo Kobayashi}, title = {Post-Silicon Jitter Measurements}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {258--263}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.15}, doi = {10.1109/ATS.2012.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NiitsuYIK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/OhkawaM12, author = {Yoshihiro Ohkawa and Yukiya Miura}, title = {Dual Edge Triggered Flip-Flops for Noise Blocking and Application to Signal Delay Detection}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.31}, doi = {10.1109/ATS.2012.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/OhkawaM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Okawara12, author = {Hideo Okawara}, title = {F-matrix (ABCD-matrix) Circuit Simulation Built in {IC} Test Program}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {5}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.17}, doi = {10.1109/ATS.2012.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Okawara12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Polian12, author = {Ilia Polian}, title = {Session Summary {I:} Quantum informatics: Classical circuit synthesis, resource optimisation and benchmarking}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {49}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.88}, doi = {10.1109/ATS.2012.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Polian12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Qian12, author = {Jun Qian}, title = {A Few Design Techniques for the "Dependability" of a {SOC}}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {70}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.77}, doi = {10.1109/ATS.2012.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Qian12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RoyRDB12, author = {Pranab Roy and Hafizur Rahaman and Parthasarathi Dasgupta and Bhargab B. Bhattacharya}, title = {A New Look Ahead Technique for Customized Testing in Digital Microfluidic Biochips}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.51}, doi = {10.1109/ATS.2012.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RoyRDB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatoWKMK12, author = {Yasuo Sato and Senling Wang and Takaaki Kato and Kohei Miyase and Seiji Kajihara}, title = {Low Power {BIST} for Scan-Shift and Capture Power}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.27}, doi = {10.1109/ATS.2012.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatoWKMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SbiaiN12, author = {Takieddine Sbiai and Kazuteru Namba}, title = {NoC Dynamically Reconfigurable as {TAM}}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {326--331}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.18}, doi = {10.1109/ATS.2012.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SbiaiN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SindiaA12, author = {Suraj Sindia and Vishwani D. Agrawal}, title = {Tailoring Tests for Functional Binning of Integrated Circuits}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.78}, doi = {10.1109/ATS.2012.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SindiaA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TakahashiWSK12, author = {Nobutaka Takahashi and Toshiaki Watanabe and Takehisa Suzuki and Manabu Kimura}, title = {Portable/Desktop Testing Solution for Engineering with Cloud}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.69}, doi = {10.1109/ATS.2012.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TakahashiWSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ValkaBDGTVDG12, author = {Miroslav Valka and Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Arnaud Virazel and P. Debaud and S. Guilhot}, title = {Power Supply Noise Sensor Based on Timing Uncertainty Measurements}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {161--166}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.46}, doi = {10.1109/ATS.2012.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ValkaBDGTVDG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Varma12, author = {Prab Varma}, title = {Current and Future Directions in Automatic Test Pattern Generation for Power Delivery Network Validation}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {233--238}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.68}, doi = {10.1109/ATS.2012.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Varma12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangSMK12, author = {Senling Wang and Yasuo Sato and Kohei Miyase and Seiji Kajihara}, title = {A Scan-Out Power Reduction Method for Multi-cycle {BIST}}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {272--277}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.50}, doi = {10.1109/ATS.2012.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangSMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WenR12, author = {Xiaoqing Wen and Sudhakar M. Reddy}, title = {Session Summary {III:} Power-Aware Testing: Present and Future}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {220}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.86}, doi = {10.1109/ATS.2012.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WenR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XiangSD12, author = {Dong Xiang and Kele Shen and Yangdong Deng}, title = {A Thermal-Driven Test Application Scheme for 3-Dimensional ICs}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.26}, doi = {10.1109/ATS.2012.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XiangSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Yamaguchi12, author = {Takahiro J. Yamaguchi}, title = {Session Summary {IV:} Post-Silicon Measurements and Tests: Analog Test and High-Speed {I/O} Test {II}}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {245}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.87}, doi = {10.1109/ATS.2012.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Yamaguchi12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YamaguchiANAKKM12, author = {Takahiro J. Yamaguchi and Kunihiro Asada and Kiichi Niitsu and Mohamed Abbas and Satoshi Komatsu and Haruo Kobayashi and Jose A. Moreira}, title = {A New Procedure for Measuring High-Accuracy Probability Density Functions}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {185--190}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.25}, doi = {10.1109/ATS.2012.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YamaguchiANAKKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Yamashita12, author = {Shigeru Yamashita}, title = {An Optimization Problem for Topological Quantum Computation}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.45}, doi = {10.1109/ATS.2012.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Yamashita12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeZCG12, author = {Fangming Ye and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Adaptive Board-Level Functional Fault Diagnosis Using Decision Trees}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {202--207}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.48}, doi = {10.1109/ATS.2012.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YeZCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeZCG12a, author = {Fangming Ye and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Board-Level Functional Fault Diagnosis Using Learning Based on Incremental Support-Vector Machines}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {208--213}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.49}, doi = {10.1109/ATS.2012.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YeZCG12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZeidlerWKK12, author = {Steffen Zeidler and Christoph Wolf and Milos Krstic and Rolf Kraemer}, title = {Functional Pattern Generation for Asynchronous Designs in a Test Processor Environment}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {296--301}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.40}, doi = {10.1109/ATS.2012.40}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZeidlerWKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangREP12, author = {Ying Zhang and Ahmed Rezine and Petru Eles and Zebo Peng}, title = {Automatic Test Program Generation for Out-of-Order Superscalar Processors}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {338--343}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.43}, doi = {10.1109/ATS.2012.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangREP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoT12, author = {Wei Zhao and Mohammad Tehranipoor}, title = {PowerMAX: Fast Power Analysis during Test}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {227--232}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.60}, doi = {10.1109/ATS.2012.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhaoT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Zorian12, author = {Yervant Zorian}, title = {Addressing Test Challenges in Advanced Technology Nodes}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.79}, doi = {10.1109/ATS.2012.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Zorian12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2012, title = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6392591/proceeding}, isbn = {978-1-4673-4555-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlmuribKL11, author = {Haider A. F. Almurib and T. Nandha Kumar and Fabrizio Lombardi}, title = {A Single-Configuration Method for Application-Dependent Testing of SRAM-based {FPGA} Interconnects}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {444--450}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.12}, doi = {10.1109/ATS.2011.12}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/AlmuribKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ArslanO11, author = {Baris Arslan and Alex Orailoglu}, title = {Adaptive Test Framework for Achieving Target Test Quality at Minimal Cost}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {323--328}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.91}, doi = {10.1109/ATS.2011.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ArslanO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AsianFLRH11, author = {Manuel J. Barragan Asian and Rafaella Fiorelli and Gildas L{\'{e}}ger and Adoraci{\'{o}}n Rueda and Jos{\'{e}} L. Huertas}, title = {Improving the Accuracy of {RF} Alternate Test Using Multi-VDD Conditions: Application to Envelope-Based Test of LNAs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {359--364}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.15}, doi = {10.1109/ATS.2011.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AsianFLRH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BaoPCT11, author = {Fang Bao and Ke Peng and Krishnendu Chakrabarty and Mohammad Tehranipoor}, title = {On Generation of 1-Detect {TDF} Pattern Set with Significantly Increased {SDD} Coverage}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {120--125}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.10}, doi = {10.1109/ATS.2011.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BaoPCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BernardiR11, author = {Paolo Bernardi and Matteo Sonza Reorda}, title = {A New Architecture to Cross-Fertilize On-Line and Manufacturing Testing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {142--147}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.42}, doi = {10.1109/ATS.2011.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BernardiR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BhattaWC11, author = {Debesh Bhatta and Joshua W. Wells and Abhijit Chatterjee}, title = {Time Domain Characterization and Test of High Speed Signals Using Incoherent Sub-sampling}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.77}, doi = {10.1109/ATS.2011.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BhattaWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BhattacharyaMSD11, author = {Gunjan Bhattacharya and Ilora Maity and Biplab K. Sikdar and Baisakhi Das}, title = {Exploring Impact of Faults on Branch Predictors' Power for Diagnosis of Faulty Module}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {226--231}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.41}, doi = {10.1109/ATS.2011.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BhattacharyaMSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BosioDGTVMW11, author = {Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Arnaud Virazel and Kohei Miyase and Xiaoqing Wen}, title = {Power-Aware Test Pattern Generation for At-Speed {LOS} Testing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {506--510}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.50}, doi = {10.1109/ATS.2011.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BosioDGTVMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CarloGIRP11, author = {Stefano Di Carlo and Giulio Gambardella and Marco Indaco and Daniele Rolfo and Paolo Prinetto}, title = {MarciaTesta: An Automatic Generator of Test Programs for Microprocessors' Data Caches}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {401--406}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.78}, doi = {10.1109/ATS.2011.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CarloGIRP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaG11, author = {Jae Chul Cha and Sandeep K. Gupta}, title = {Yield-per-Area Optimization for 6T-SRAMs Using an Integrated Approach to Exploit Spares and {ECC} to Efficiently Combat High Defect and Soft-Error Rates}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {126--135}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.71}, doi = {10.1109/ATS.2011.71}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChaG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaKK11, author = {Jaewon Cha and Ilwoong Kim and Sungho Kang}, title = {New Fault Detection Algorithm for Multi-level Cell Flash Memroies}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {341--346}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.13}, doi = {10.1109/ATS.2011.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChaKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chakravarty11, author = {Sreejit Chakravarty}, title = {A Process Monitor Based Speed Binning and Die Matching Algorithm}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {311--316}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.96}, doi = {10.1109/ATS.2011.96}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chakravarty11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChandraSK11, author = {Anshuman Chandra and Jyotirmoy Saikia and Rohit Kapur}, title = {Breaking the Test Application Time Barriers in Compression: Adaptive Scan-Cyclical {(AS-C)}}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {432--437}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.70}, doi = {10.1109/ATS.2011.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChandraSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenHLTCCL11, author = {Po{-}Juei Chen and Wei{-}Li Hsu and James Chien{-}Mo Li and Nan{-}Hsin Tseng and Kuo{-}Yin Chen and Wei{-}pin Changchien and Charles C. C. Liu}, title = {An Accurate Timing-Aware Diagnosis Algorithm for Multiple Small Delay Defects}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {291--296}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.23}, doi = {10.1109/ATS.2011.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenHLTCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenLXH11, author = {Zhen Chen and Jia Li and Dong Xiang and Yu Huang}, title = {Virtual Circuit Model for Low Power Scan Testing in Linear Decompressor-Based Compression Environment}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {96--101}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.62}, doi = {10.1109/ATS.2011.62}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChenLXH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenSXB11, author = {Zhen Chen and Sharad C. Seth and Dong Xiang and Bhargab B. Bhattacharya}, title = {Diagnosis of Multiple Scan-Chain Faults in the Presence of System Logic Defects}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {297--302}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.61}, doi = {10.1109/ATS.2011.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenSXB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengZHLL11, author = {Yuanqing Cheng and Lei Zhang and Yinhe Han and Jun Liu and Xiaowei Li}, title = {Wrapper Chain Design for Testing TSVs Minimization in Circuit-Partitioned 3D SoC}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {181--186}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.40}, doi = {10.1109/ATS.2011.40}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChengZHLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChiMGW11, author = {Chun{-}Chuan Chi and Erik Jan Marinissen and Sandeep Kumar Goel and Cheng{-}Wen Wu}, title = {Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs with a Passive Silicon Interposer Base}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {451--456}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.36}, doi = {10.1109/ATS.2011.36}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChiMGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CookHIW11, author = {Alejandro Cook and Sybille Hellebrand and Thomas Indlekofer and Hans{-}Joachim Wunderlich}, title = {Diagnostic Test of Robust Circuits}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {285--290}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.55}, doi = {10.1109/ATS.2011.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CookHIW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DarbinyanHSVZ11, author = {K. Darbinyan and Gurgen Harutyunyan and Samvel K. Shoukourian and Valery A. Vardanian and Yervant Zorian}, title = {A Robust Solution for Embedded Memory Test and Repair}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {461--462}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.98}, doi = {10.1109/ATS.2011.98}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DarbinyanHSVZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DasG11, author = {Prasanjeet Das and Sandeep K. Gupta}, title = {On Generating Vectors for Accurate Post-Silicon Delay Characterization}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {251--260}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.39}, doi = {10.1109/ATS.2011.39}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/DasG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeutschCKMKMG11, author = {Sergej Deutsch and Vivek Chickermane and Brion L. Keller and Subhasish Mukherjee and Mario Konijnenburg and Erik Jan Marinissen and Sandeep Kumar Goel}, title = {Automation of 3D-DfT Insertion}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {395--400}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.58}, doi = {10.1109/ATS.2011.58}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeutschCKMKMG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DevanathanBM11, author = {V. R. Devanathan and Sunil Bhavsar and Rajat Mehrotra}, title = {Physical-Aware Memory {BIST} Datapath Synthesis: Architecture and Case-Studies on Complex SoCs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {457--458}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.102}, doi = {10.1109/ATS.2011.102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DevanathanBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FanTRCB11, author = {Xiaoxin Fan and Huaxing Tang and Sudhakar M. Reddy and Wu{-}Tung Cheng and Brady Benware}, title = {On Using Design Partitioning to Reduce Diagnosis Memory Footprint}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {219--225}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.45}, doi = {10.1109/ATS.2011.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FanTRCB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FangLL11, author = {Yuntan Fang and Huawei Li and Xiaowei Li}, title = {A Fault Criticality Evaluation Framework of Digital Systems for Error Tolerant Video Applications}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {329--334}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.72}, doi = {10.1109/ATS.2011.72}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/FangLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FilipekFIMRTT11, author = {Michal Filipek and Yoshiaki Fukui and Hiroyuki Iwata and Grzegorz Mrugalski and Janusz Rajski and Masahiro Takakura and Jerzy Tyszer}, title = {Low Power Decompressor and {PRPG} with Constant Value Broadcast}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {84--89}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.47}, doi = {10.1109/ATS.2011.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FilipekFIMRTT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FranzonDTM11, author = {Paul D. Franzon and W. Rhett Davis and Thorlindur Thorolfsson and Samson Melamed}, title = {3D Specific Systems: Design and {CAD}}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {470--473}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.99}, doi = {10.1109/ATS.2011.99}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FranzonDTM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Fujita11, author = {Masahiro Fujita}, title = {High Level Verification and Its Use at Pos-Silicon Debugging and Patching}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {464--469}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.51}, doi = {10.1109/ATS.2011.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Fujita11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoelGMPR11, author = {Ashish Goel and Swaroop Ghosh and Mesut Meterelliyoz and Jeff Parkhurst and Kaushik Roy}, title = {Integrated Design {\&} Test: Conquering the Conflicting Requirements of Low-Power, Variation-Tolerance and Test Cost}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {486--491}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.100}, doi = {10.1109/ATS.2011.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoelGMPR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GrayKWB11, author = {Carl Gray and David C. Keezer and Howard Wang and Keren Bergman}, title = {Burst-Mode Transmission and Data Recovery for Multi-GHz Optical Packet Switching Network Testing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {545--551}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.81}, doi = {10.1109/ATS.2011.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GrayKWB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuerreiroS11, author = {Nuno Guerreiro and Marcelino B. Santos}, title = {Mixed-Signal Fault Equivalence: Search and Evaluation}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.19}, doi = {10.1109/ATS.2011.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuerreiroS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuptaG11, author = {Puneet Gupta and Rajesh K. Gupta}, title = {Underdesigned and Opportunistic Computing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {498--499}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.101}, doi = {10.1109/ATS.2011.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuptaG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuptaKC11, author = {Atul Gupta and Ajay Kumar and Manas Chhabra}, title = {Characterizing Pattern Dependent Delay Effects in {DDR} Memory Interfaces}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {425--431}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.14}, doi = {10.1109/ATS.2011.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuptaKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HamdiouiKIA11, author = {Said Hamdioui and Venkataraman Krishnaswami and Ijeoma Sandra Irobi and Zaid Al{-}Ars}, title = {A New Test Paradigm for Semiconductor Memories in the Nano-Era}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {347--352}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.87}, doi = {10.1109/ATS.2011.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HamdiouiKIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HamdiouiT11, author = {Said Hamdioui and Mottaqiallah Taouil}, title = {Yield Improvement and Test Cost Optimization for 3D Stacked ICs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {480--485}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.88}, doi = {10.1109/ATS.2011.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HamdiouiT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HaronHH11, author = {Nor Zaidi Haron and Said Hamdioui}, title = {On Defect Oriented Testing for Hybrid CMOS/Memristor Memory}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {353--358}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.66}, doi = {10.1109/ATS.2011.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HaronHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HigamiFSKT11, author = {Yoshinobu Higami and Hiroshi Furutani and Takao Sakai and Shuichi Kameyama and Hiroshi Takahashi}, title = {Test Pattern Selection for Defect-Aware Test}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {102--107}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.24}, doi = {10.1109/ATS.2011.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HigamiFSKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HigamiTKS11, author = {Yoshinobu Higami and Hiroshi Takahashi and Shin{-}ya Kobayashi and Kewal K. Saluja}, title = {On Detecting Transition Faults in the Presence of Clock Delay Faults}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.33}, doi = {10.1109/ATS.2011.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HigamiTKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangHLHLL11, author = {Keheng Huang and Yu Hu and Xiaowei Li and Gengxin Hua and Hongjin Liu and Bo Liu}, title = {Exploiting Free {LUT} Entries to Mitigate Soft Errors in SRAM-based FPGAs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {438--443}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.25}, doi = {10.1109/ATS.2011.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangHLHLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IchiharaIYI11, author = {Hideyuki Ichihara and Yuka Iwamoto and Yuki Yoshikawa and Tomoo Inoue}, title = {Test Compression Based on Lossy Image Encoding}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {273--278}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.59}, doi = {10.1109/ATS.2011.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IchiharaIYI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IrobiAHT11, author = {Sandra Irobi and Zaid Al{-}Ars and Said Hamdioui and Claude Thibeault}, title = {Testing for Parasitic Memory Effect in SRAMs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {407--412}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.76}, doi = {10.1109/ATS.2011.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IrobiAHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IshaqJSP11, author = {Umair Ishaq and Jihun Jung and Jaehoon Song and Sungju Park}, title = {Efficient Use of Unused Spare Columns to Improve Memory Error Correcting Rate}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {335--340}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.28}, doi = {10.1109/ATS.2011.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IshaqJSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JangCGNA11, author = {Eun Jung Jang and Jaeyong Chung and Anne E. Gattiker and Sani R. Nassif and Jacob A. Abraham}, title = {Post-Silicon Timing Validation Method Using Path Delay Measurements}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {232--237}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.32}, doi = {10.1109/ATS.2011.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JangCGNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JavaheriNKN11, author = {Fatemeh Javaheri and Majid Namaki{-}Shoushtari and Parastoo Kamranfar and Zainalabedin Navabi}, title = {Mapping Transaction Level Faults to Stuck-At Faults in Communication Hardware}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {114--119}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.94}, doi = {10.1109/ATS.2011.94}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JavaheriNKN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KarimiKCGP11, author = {Naghmeh Karimi and Zhiqiu Kong and Krishnendu Chakrabarty and Pallav Gupta and Srinivas Patil}, title = {Testing of Clock-Domain Crossing Faults in Multi-core System-on-Chip}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {7--14}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.68}, doi = {10.1109/ATS.2011.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KarimiKCGP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KavousianosCJP11, author = {Xrysovalantis Kavousianos and Krishnendu Chakrabarty and Arvind Jain and Rubin A. Parekhji}, title = {Test Scheduling for Multicore SoCs with Dynamic Voltage Scaling and Multiple Voltage Islands}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {33--39}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.53}, doi = {10.1109/ATS.2011.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KavousianosCJP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KhodabandelooHTHBN11, author = {Behnam Khodabandeloo and Seyyed Alireza Hoseini and Sajjad Taheri and Mohammad Hashem Haghbayan and Mahmood Reza Babaei and Zainalabedin Navabi}, title = {Online Test Macro Scheduling and Assignment in MPSoC Design}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {148--153}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.95}, doi = {10.1109/ATS.2011.95}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KhodabandelooHTHBN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KhullarB11, author = {Shray Khullar and Swapnil Bahl}, title = {Power Aware Shift and Capture {ATPG} Methodology for Low Power Designs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {500--505}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.65}, doi = {10.1109/ATS.2011.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KhullarB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimA11, author = {Hyunjin Kim and Jacob A. Abraham}, title = {On-Chip Programmable Dual-Capture for Double Data Rate Interface Timing Test}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.31}, doi = {10.1109/ATS.2011.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KirmsePP11, author = {Matthias Kirmse and Uwe Petersohn and Elief Paffrath}, title = {Optimized Test Error Detection by Probabilistic Retest Recommendation Models}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {317--322}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.11}, doi = {10.1109/ATS.2011.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KirmsePP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteKMWW11, author = {Michael A. Kochte and Sandip Kundu and Kohei Miyase and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, title = {Efficient BDD-based Fault Simulation in Presence of Unknown Values}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {383--388}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.52}, doi = {10.1109/ATS.2011.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteKMWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KomuravelliMBD11, author = {Anvesh Komuravelli and Srobona Mitra and Ansuman Banerjee and Pallab Dasgupta}, title = {Backward Reasoning with Formal Properties: {A} Methodology for Bug Isolation on Simulation Traces}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {238--243}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.54}, doi = {10.1109/ATS.2011.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KomuravelliMBD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LegatBN11, author = {Uros Legat and Anton Biasizzo and Franc Novak}, title = {Soft Error Recovery Technique for Multiprocessor {SOPC}}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {175--180}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.22}, doi = {10.1109/ATS.2011.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LegatBN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinMMNRT11, author = {Xijiang Lin and Elham K. Moghaddam and Nilanjan Mukherjee and Benoit Nadeau{-}Dostie and Janusz Rajski and Jerzy Tyszer}, title = {Power Aware Embedded Test}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {511--516}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.49}, doi = {10.1109/ATS.2011.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinMMNRT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MiyaseUEYWKWDBGV11, author = {Kohei Miyase and Y. Uchinodan and Kazunari Enokimoto and Yuta Yamato and Xiaoqing Wen and Seiji Kajihara and Fangmei Wu and Luigi Dilillo and Alberto Bosio and Patrick Girard and Arnaud Virazel}, title = {Effective Launch-to-Capture Power Reduction for {LOS} Scheme with Adjacent-Probability-Based X-Filling}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {90--95}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.35}, doi = {10.1109/ATS.2011.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MiyaseUEYWKWDBGV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MoghaddamRRJ11, author = {Elham K. Moghaddam and Janusz Rajski and Sudhakar M. Reddy and Jakub Janicki}, title = {Low Test Data Volume Low Power At-Speed Delay Tests Using Clock-Gating}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {267--272}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.46}, doi = {10.1109/ATS.2011.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MoghaddamRRJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MrugalskiPMRTU11, author = {Grzegorz Mrugalski and Artur Pogiel and Nilanjan Mukherjee and Janusz Rajski and Jerzy Tyszer and Pawel Urbanek}, title = {Fault Diagnosis in Memory {BIST} Environment with Non-march Tests}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {419--424}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.48}, doi = {10.1109/ATS.2011.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MrugalskiPMRTU11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MukhopadhyayC11, author = {Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, title = {Testability of Cryptographic Hardware and Detection of Hardware Trojans}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {517--524}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.27}, doi = {10.1109/ATS.2011.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MukhopadhyayC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MumtazIHW11, author = {Abdullah Mumtaz and Michael E. Imhof and Stefan Holst and Hans{-}Joachim Wunderlich}, title = {Embedded Test for Highly Accurate Defect Localization}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.60}, doi = {10.1109/ATS.2011.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MumtazIHW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NatarajanWCS11, author = {Jayaram Natarajan and Joshua W. Wells and Abhijit Chatterjee and Adit D. Singh}, title = {Distributed Comparison Test Driven Multiprocessor Speed-Tuning: Targeting Performance Gains under Extreme Process Variations}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {154--160}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.84}, doi = {10.1109/ATS.2011.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NatarajanWCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NematiN11, author = {Nastaran Nemati and Zainalabedin Navabi}, title = {Adaptation of Standard {RT} Level {BIST} Architectures for System Level Communication Testing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {72--77}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.103}, doi = {10.1109/ATS.2011.103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NematiN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NoiaC11, author = {Brandon Noia and Krishnendu Chakrabarty}, title = {Identification of Defective TSVs in Pre-Bond Testing of 3D ICs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {187--194}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.57}, doi = {10.1109/ATS.2011.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NoiaC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NoiaC11a, author = {Brandon Noia and Krishnendu Chakrabarty}, title = {Testing and Design-for-Testability Techniques for 3D Integrated Circuits}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {474--479}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.67}, doi = {10.1109/ATS.2011.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NoiaC11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Onodera11, author = {Hidetoshi Onodera}, title = {Dependable {VLSI} Program in Japan: Program Overview and the Current Status of Dependable {VLSI} Platform Project}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {492--495}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.56}, doi = {10.1109/ATS.2011.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Onodera11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PaiGCCL11, author = {Chih{-}Yun Pai and Ruei{-}Ting Gu and Bo{-}Chuan Cheng and Liang{-}Bi Chen and Katherine Shu{-}Min Li}, title = {A Unified Interconnects Testing Scheme for 3D Integrated Circuits}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {195--200}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.38}, doi = {10.1109/ATS.2011.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PaiGCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PrabhuHKLGG11, author = {Sarvesh Prabhu and Michael S. Hsiao and Saparya Krishnamoorthy and Loganathan Lingappan and Vijay Gangaram and Jim Grundy}, title = {An Efficient 2-Phase Strategy to Achieve High Branch Coverage}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {167--174}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.83}, doi = {10.1109/ATS.2011.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PrabhuHKLGG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QianSC11, author = {Xi Qian and Adit D. Singh and Abhijit Chatterjee}, title = {Diagnosing Multiple Slow Gates for Performance Tuning in the Face of Extreme Process Variations}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {303--310}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.73}, doi = {10.1109/ATS.2011.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/QianSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RazzaqSS11, author = {Mohammed Abdul Razzaq and Virendra Singh and Adit D. Singh}, title = {{SSTKR:} Secure and Testable Scan Design through Test Key Randomization}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {60--65}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.85}, doi = {10.1109/ATS.2011.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RazzaqSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RodriguesK11, author = {Rance Rodrigues and Sandip Kundu}, title = {An Online Mechanism to Verify Datapath Execution Using Existing Resources in Chip Multiprocessors}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {161--166}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.82}, doi = {10.1109/ATS.2011.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RodriguesK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SaikiaNKAUFBK11, author = {Jyotirmoy Saikia and Pramod Notiyath and Santosh Kulkarni and Ashok Anbalan and Rajesh Uppuluri and Tammy Fernandes and Parthajit Bhattacharya and Rohit Kapur}, title = {Predicting Scan Compression {IP} Configurations for Better QoR}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.30}, doi = {10.1109/ATS.2011.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SaikiaNKAUFBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SatoYMK11, author = {Yasuo Sato and Hisato Yamaguchi and Makoto Matsuzono and Seiji Kajihara}, title = {Multi-cycle Test with Partial Observation on Scan-Based {BIST} Structure}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.34}, doi = {10.1109/ATS.2011.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SatoYMK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SauerJCPB11, author = {Matthias Sauer and Jie Jiang and Alejandro Czutro and Ilia Polian and Bernd Becker}, title = {Efficient SAT-Based Search for Longest Sensitisable Paths}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {108--113}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.43}, doi = {10.1109/ATS.2011.43}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/SauerJCPB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Sinanoglu11, author = {Ozgur Sinanoglu}, title = {Rewind-Support for Peak Capture Power Reduction in Launch-Off-Shift Testing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {78--83}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.18}, doi = {10.1109/ATS.2011.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Sinanoglu11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SindiaAS11, author = {Suraj Sindia and Vishwani D. Agrawal and Virendra Singh}, title = {Test and Diagnosis of Analog Circuits Using Moment Generating Functions}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {371--376}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.86}, doi = {10.1109/ATS.2011.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SindiaAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SpyronasiosASM11, author = {Alexios Spyronasios and Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Salvador Mir}, title = {On Replacing an {RF} Test with an Alternative Measurement: Theory and a Case Study}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {365--370}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.44}, doi = {10.1109/ATS.2011.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SpyronasiosASM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TenentesK11, author = {Vasileios Tenentes and Xrysovalantis Kavousianos}, title = {Low Power Test-Compression for High Test-Quality and Low Test-Data Volume}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {46--53}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.75}, doi = {10.1109/ATS.2011.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TenentesK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TranVBDGPW11, author = {D. A. Tran and Arnaud Virazel and Alberto Bosio and Luigi Dilillo and Patrick Girard and Serge Pravossoudovitch and Hans{-}Joachim Wunderlich}, title = {A Hybrid Fault Tolerant Architecture for Robustness Improvement of Digital Circuits}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {136--141}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.89}, doi = {10.1109/ATS.2011.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TranVBDGPW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TsertovUJD11, author = {Anton Tsertov and Raimund Ubar and Artur Jutman and Sergei Devadze}, title = {Automatic SoC Level Test Path Synthesis Based on Partial Functional Models}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {532--538}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.79}, doi = {10.1109/ATS.2011.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TsertovUJD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/VatajeluGRF11, author = {Elena I. Vatajelu and {\'{A}}lvaro G{\'{o}}mez{-}Pau and Michel Renovell and Joan Figueras}, title = {Transient Noise Failures in {SRAM} Cells: Dynamic Noise Margin Metric}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {413--418}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.64}, doi = {10.1109/ATS.2011.64}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/VatajeluGRF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Wehn11, author = {Norbert Wehn}, title = {Reliability: {A} Cross-Disciplinary and Cross-Layer Approach}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {496--497}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.21}, doi = {10.1109/ATS.2011.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Wehn11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XiangC11, author = {Dong Xiang and Zhen Chen}, title = {Selective Test Response Collection for Low-Power Scan Testing with Well-Compressed Test Data}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {40--45}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.74}, doi = {10.1109/ATS.2011.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XiangC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YaoSR11, author = {Chunhua Yao and Kewal K. Saluja and Parameswaran Ramanathan}, title = {Temperature Dependent Test Scheduling for Multi-core System-on-Chip}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {27--32}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.26}, doi = {10.1109/ATS.2011.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YaoSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YotsuyanagiMH11, author = {Hiroyuki Yotsuyanagi and Hiroyuki Makimoto and Masaki Hashizume}, title = {A Boundary Scan Circuit with Time-to-Digital Converter for Delay Testing}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {539--544}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.63}, doi = {10.1109/ATS.2011.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YotsuyanagiMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YuXQ11, author = {Yang Yu and Gang Xi and Liyan Qiao}, title = {Multiscan-based Test Data Compression Using {UBI} Dictionary and Bitmask}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {279--284}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.69}, doi = {10.1109/ATS.2011.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YuXQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZadeganIACL11, author = {Farrokh Ghani Zadegan and Urban Ingelsson and Golnaz Asani and Gunnar Carlsson and Erik Larsson}, title = {Test Scheduling in an {IEEE} {P1687} Environment with Resource and Power Constraints}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {525--531}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.80}, doi = {10.1109/ATS.2011.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZadeganIACL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZaourarKA11, author = {Lilia Zaourar and Yann Kieffer and Chouki Aktouf}, title = {An Innovative Methodology for Scan Chain Insertion and Analysis at {RTL}}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {66--71}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.20}, doi = {10.1109/ATS.2011.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZaourarKA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZeidlerWKVK11, author = {Steffen Zeidler and Christoph Wolf and Milos Krstic and Frank Vater and Rolf Kraemer}, title = {Design of a Test Processor for Asynchronous Chip Test}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {244--250}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.17}, doi = {10.1109/ATS.2011.17}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZeidlerWKVK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangWD11, author = {Hongyan Zhang and Robert Wille and Rolf Drechsler}, title = {Improved Fault Diagnosis for Reversible Circuits}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {207--212}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.29}, doi = {10.1109/ATS.2011.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangWD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoKA11, author = {Yi Zhao and S. Saqib Khursheed and Bashir M. Al{-}Hashimi}, title = {Cost-Effective {TSV} Grouping for Yield Improvement of 3D-ICs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {201--206}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.37}, doi = {10.1109/ATS.2011.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhaoKA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhongKARC11, author = {Shida Zhong and S. Saqib Khursheed and Bashir M. Al{-}Hashimi and Sudhakar M. Reddy and Krishnendu Chakrabarty}, title = {Analysis of Resistive Bridge Defect Delay Behavior in the Presence of Process Variation}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {389--394}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.16}, doi = {10.1109/ATS.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhongKARC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZordanBDGPTVB11, author = {Leonardo Bonet Zordan and Alberto Bosio and Luigi Dilillo and Patrick Girard and Serge Pravossoudovitch and Aida Todri and Arnaud Virazel and Nabil Badereddine}, title = {Failure Analysis and Test Solutions for Low-Power SRAMs}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {459--460}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.97}, doi = {10.1109/ATS.2011.97}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZordanBDGPTVB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/dAbreu11, author = {Manuel A. d'Abreu}, title = {Nand Flash Memory - Product Trends, Technology Overview, and Technical Challenges}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {463}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.90}, doi = {10.1109/ATS.2011.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/dAbreu11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2011, title = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6114262/proceeding}, isbn = {978-1-4577-1984-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AghaeeHPE10, author = {Nima Aghaee and Zhiyuan He and Zebo Peng and Petru Eles}, title = {Temperature-Aware SoC Test Scheduling Considering Inter-Chip Process Variation}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {395--398}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.74}, doi = {10.1109/ATS.2010.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AghaeeHPE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlawadhiSA10, author = {Nader Alawadhi and Ozgur Sinanoglu and Mohammed Al{-}Mulla}, title = {Pattern Encodability Enhancements for Test Stimulus Decompressors}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.39}, doi = {10.1109/ATS.2010.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AlawadhiSA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BasithKR10, author = {Iftekhar Ibne Basith and Nabeeh Kandalaft and Rashid Rashidzadeh}, title = {Built-In Self-Test for Capacitive {MEMS} Using a Charge Control Technique}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {135--140}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.32}, doi = {10.1109/ATS.2010.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BasithKR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BenabboudBDGPVR10, author = {Youssef Benabboud and Alberto Bosio and Luigi Dilillo and Patrick Girard and Serge Pravossoudovitch and Arnaud Virazel and Olivia Riewer}, title = {A Comprehensive System-on-Chip Logic Diagnosis}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.49}, doi = {10.1109/ATS.2010.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BenabboudBDGPVR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenSZ10, author = {Bowen Chen and Haihua Shen and Wenhui Zhang}, title = {Formula-Oriented Compositional Minimization in Model Checking}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {81--84}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.23}, doi = {10.1109/ATS.2010.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengH10, author = {Wu{-}Tung Cheng and Yu Huang}, title = {Enhance Profiling-Based Scan Chain Diagnosis by Pattern Masking}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {255--260}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.52}, doi = {10.1109/ATS.2010.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChoiC10, author = {Hyun Woo Choi and Abhijit Chatterjee}, title = {Jitter Characterization of Pseudo-random Bit Sequences Using Incoherent Sub-sampling}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {9--14}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.11}, doi = {10.1109/ATS.2010.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChoiC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChouLCKCW10, author = {Che{-}Wei Chou and Jin{-}Fu Li and Ji{-}Jan Chen and Ding{-}Ming Kwai and Yung{-}Fa Chou and Cheng{-}Wen Wu}, title = {A Test Integration Methodology for 3D Integrated Circuits}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.71}, doi = {10.1109/ATS.2010.71}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChouLCKCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DevarakondSNBCSC10, author = {Shyam Kumar Devarakond and Shreyas Sen and Vishwanath Natarajan and Aritra Banerjee and Hyun Woo Choi and Ganesh Srinivasan and Abhijit Chatterjee}, title = {Digitally Assisted Concurrent Built-In Tuning of {RF} Systems Using Hamming Distance Proportional Signatures}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.55}, doi = {10.1109/ATS.2010.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DevarakondSNBCSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ElmKW10, author = {Melanie Elm and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {On Determining the Real Output Xs by SAT-Based Reasoning}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {39--44}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.16}, doi = {10.1109/ATS.2010.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ElmKW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FangWGC10, author = {Hongxia Fang and Zhiyuan Wang and Xinli Gu and Krishnendu Chakrabarty}, title = {Mimicking of Functional State Space with Structural Tests for the Diagnosis of Board-Level Functional Failures}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {421--428}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.78}, doi = {10.1109/ATS.2010.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FangWGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FuLL10, author = {Xiang Fu and Huawei Li and Xiaowei Li}, title = {On Selection of Testable Paths with Specified Lengths for Faster-Than-At-Speed Testing}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {45--48}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.17}, doi = {10.1109/ATS.2010.17}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/FuLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoelCYPT10, author = {Sandeep Kumar Goel and Krishnendu Chakrabarty and Mahmut Yilmaz and Ke Peng and Mohammad Tehranipoor}, title = {Circuit Topology-Based Test Pattern Generation for Small-Delay Defects}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {307--312}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.59}, doi = {10.1109/ATS.2010.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoelCYPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoelHRZ10, author = {Neha Goel and Michael S. Hsiao and Naren Ramakrishnan and Mohammed J. Zaki}, title = {Mining Complex Boolean Expressions for Sequential Equivalence Checking}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {442--447}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.81}, doi = {10.1109/ATS.2010.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoelHRZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuoCSCH10, author = {Qi Guo and Tianshi Chen and Haihua Shen and Yunji Chen and Weiwu Hu}, title = {On-the-Fly Reduction of Stimuli for Functional Verification}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {448--454}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.82}, doi = {10.1109/ATS.2010.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuoCSCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HaghbayanKJN10, author = {M. H. Haghbayan and Sara Karamati and Fatemeh Javaheri and Zainalabedin Navabi}, title = {Test Pattern Selection and Compaction for Sequential Circuits in an {HDL} Environment}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {53--56}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.85}, doi = {10.1109/ATS.2010.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HaghbayanKJN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HeLLL10, author = {Zijian He and Tao Lv and Huawei Li and Xiaowei Li}, title = {An Efficient Algorithm for Finding a Universal Set of Testable Long Paths}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {319--324}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.61}, doi = {10.1109/ATS.2010.61}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HeLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HebertBST10, author = {Nicolas Hebert and Pascal Benoit and Gilles Sassatelli and Lionel Torres}, title = {D-Scale: {A} Scalable System-Level Dependable Method for MPSoCs}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {198--205}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.43}, doi = {10.1109/ATS.2010.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HebertBST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HopschBHPSVW10, author = {Fabian Hopsch and Bernd Becker and Sybille Hellebrand and Ilia Polian and Bernd Straube and Wolfgang Vermeiren and Hans{-}Joachim Wunderlich}, title = {Variation-Aware Fault Modeling}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {87--93}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.24}, doi = {10.1109/ATS.2010.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HopschBHPSVW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangLH10, author = {Tsung{-}Chu Huang and Kuei{-}Yeh Lu and Yen{-}Chieh Huang}, title = {{HYPERA:} High-Yield Performance-Efficient Redundancy Analysis}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.48}, doi = {10.1109/ATS.2010.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangSM10, author = {Ke Huang and Haralampos{-}G. D. Stratigopoulos and Salvador Mir}, title = {Bayesian Fault Diagnosis of {RF} Circuits Using Nonparametric Density Estimation}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {295--298}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.57}, doi = {10.1109/ATS.2010.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IshikawaYH10, author = {Masashi Ishikawa and Hiroyuki Yotsuyanagi and Masaki Hashizume}, title = {Test Data Reduction for BIST-Aided Scan Test Using Compatible Flip-Flops and Shifting Inverter Code}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {163--166}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.37}, doi = {10.1109/ATS.2010.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IshikawaYH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IwataOIF10, author = {Hiroshi Iwata and Satoshi Ohtake and Michiko Inoue and Hideo Fujiwara}, title = {Bipartite Full Scan Design: {A} {DFT} Method for Asynchronous Circuits}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.44}, doi = {10.1109/ATS.2010.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IwataOIF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JinHLL10, author = {Song Jin and Yinhe Han and Huawei Li and Xiaowei Li}, title = {{P(2)CLRAF:} An Pre- and Post-Silicon Cooperated Circuit Lifetime Reliability Analysis Framework}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {117--120}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.29}, doi = {10.1109/ATS.2010.29}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/JinHLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatohNI10, author = {Kentaroh Katoh and Kazuteru Namba and Hideo Ito}, title = {A Low Area On-chip Delay Measurement System Using Embedded Delay Measurement Circuit}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {343--348}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.65}, doi = {10.1109/ATS.2010.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatohNI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KavousianosCKT10, author = {Xrysovalantis Kavousianos and Krishnendu Chakrabarty and Emmanouil Kalligeros and Vasileios Tenentes}, title = {Defect Coverage-Driven Window-Based Test Compression}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {141--146}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.33}, doi = {10.1109/ATS.2010.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KavousianosCKT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimA10, author = {Hyunjin Kim and Jacob A. Abraham}, title = {A Low Cost Built-In Self-Test Circuit for High-Speed Source Synchronous Memory Interfaces}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {123--128}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.30}, doi = {10.1109/ATS.2010.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteZBIWHCP10, author = {Michael A. Kochte and Christian G. Zoellin and Rafal Baranowski and Michael E. Imhof and Hans{-}Joachim Wunderlich and Nadereh Hatami and Stefano Di Carlo and Paolo Prinetto}, title = {Efficient Simulation of Structural Faults for the Reliability Evaluation at System-Level}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.10}, doi = {10.1109/ATS.2010.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteZBIWHCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KoleRDB10, author = {Dipak Kumar Kole and Hafizur Rahaman and Debesh K. Das and Bhargab B. Bhattacharya}, title = {Derivation of Optimal Test Set for Detection of Multiple Missing-Gate Faults in Reversible Circuits}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.15}, doi = {10.1109/ATS.2010.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KoleRDB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KongtimR10, author = {Piyanart Kongtim and Taweesak Reungpeerakul}, title = {Parallel {LFSR} Reseeding with Selection Register for Mixed-Mode {BIST}}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {153--158}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.35}, doi = {10.1109/ATS.2010.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KongtimR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KrishnamoorthyHL10, author = {Saparya Krishnamoorthy and Michael S. Hsiao and Loganathan Lingappan}, title = {Tackling the Path Explosion Problem in Symbolic Execution-Driven Test Generation for Programs}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {59--64}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.19}, doi = {10.1109/ATS.2010.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KrishnamoorthyHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KumarKC10, author = {S. Krishna Kumar and S. Kaundinya and Santanu Chattopadhyay}, title = {Particle Swarm Optimization Based Scheme for Low Power March Sequence Generation for Memory Testing}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {401--406}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.75}, doi = {10.1109/ATS.2010.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KumarKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiH10, author = {Min Li and Michael S. Hsiao}, title = {FSimGP2: An Efficient Fault Simulator with {GPGPU}}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.12}, doi = {10.1109/ATS.2010.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiangWH10, author = {Chun{-}Yong Liang and Meng{-}Fan Wu and Jiun{-}Lang Huang}, title = {Power Supply Noise Reduction in Broadcast-Based Compression Environment for At-speed Scan Testing}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {361--366}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.68}, doi = {10.1109/ATS.2010.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiangWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LienL10, author = {Wei{-}Cheng Lien and Kuen{-}Jong Lee}, title = {A Complete Logic {BIST} Technology with No Storage Requirement}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.31}, doi = {10.1109/ATS.2010.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LienL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinR10, author = {Xijiang Lin and Janusz Rajski}, title = {Adaptive Low Shift Power Test Pattern Generator for Logic {BIST}}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.67}, doi = {10.1109/ATS.2010.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuM10, author = {Pan Liu and Huaikou Miao}, title = {A New Approach to Generating High Quality Test Cases}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {71--76}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.21}, doi = {10.1109/ATS.2010.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuX10, author = {Xiao Liu and Qiang Xu}, title = {On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {243--248}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.50}, doi = {10.1109/ATS.2010.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LouJSW10, author = {Jungang Lou and Jianhui Jiang and Chunyan Shuai and Ying Wu}, title = {A Study on Software Reliability Prediction Based on Transduction Inference}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {77--80}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.22}, doi = {10.1109/ATS.2010.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LouJSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MajeedAICL10, author = {Mudassar Majeed and Daniel Ahlstrom and Urban Ingelsson and Gunnar Carlsson and Erik Larsson}, title = {Efficient Embedding of Deterministic Test Data}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {159--162}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.36}, doi = {10.1109/ATS.2010.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MajeedAICL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Mirza-AghatabarBG10, author = {Mohammad Mirza{-}Aghatabar and Melvin A. Breuer and Sandeep K. Gupta}, title = {{HYPER:} {A} Heuristic for Yield/Area imProvEment Using Redundancy in SoC}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.51}, doi = {10.1109/ATS.2010.51}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/Mirza-AghatabarBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MishraSSSCS10, author = {Amit Mishra and Nidhi Sinha and Satdev and Virendra Singh and Sreejit Chakravarty and Adit D. Singh}, title = {Modified Scan Flip-Flop for Low Power Testing}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {367--370}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.69}, doi = {10.1109/ATS.2010.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MishraSSSCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MitraGRCB10, author = {Debasis Mitra and Sarmishtha Ghoshal and Hafizur Rahaman and Krishnendu Chakrabarty and Bhargab B. Bhattacharya}, title = {Testing of Digital Microfluidic Biochips Using Improved Eulerization Techniques and the Chinese Postman Problem}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.28}, doi = {10.1109/ATS.2010.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MitraGRCB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MohdNoorSYA10, author = {NurQamarina MohdNoor and Azilah Saparon and Yusrina Yusof and Mahmud Adnan}, title = {New Microcode's Generation Technique for Programmable Memory Built-In Self Test}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {407--412}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.76}, doi = {10.1109/ATS.2010.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MohdNoorSYA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PaiL10, author = {Chih{-}Yun Pai and Katherine Shu{-}Min Li}, title = {Maximal Resilience for Reliability and Yield Enhancement in Interconnect Structure}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.53}, doi = {10.1109/ATS.2010.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PaiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ParkLCHABWO10, author = {Joonsung Park and Jae Wook Lee and Jaeyong Chung and Kihyuk Han and Jacob A. Abraham and Eonjo Byun and Cheol{-}Jong Woo and Sejang Oh}, title = {At-speed Test of High-Speed {DUT} Using Built-Off Test Interface}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {269--274}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.54}, doi = {10.1109/ATS.2010.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ParkLCHABWO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PengYCT10, author = {Ke Peng and Mahmut Yilmaz and Krishnendu Chakrabarty and Mohammad Tehranipoor}, title = {A Noise-Aware Hybrid Method for {SDD} Pattern Grading and Selection}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {331--336}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.63}, doi = {10.1109/ATS.2010.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PengYCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PomeranzR10, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {On Bias in Transition Coverage of Test Sets for Path Delay Faults}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {349--352}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.66}, doi = {10.1109/ATS.2010.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PomeranzR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QianS10, author = {Xi Qian and Adit D. Singh}, title = {Distinguishing Resistive Small Delay Defects from Random Parameter Variations}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {325--330}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.62}, doi = {10.1109/ATS.2010.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/QianS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RabenaltRG10, author = {Thomas Rabenalt and Michael Richter and Michael G{\"{o}}ssel}, title = {High Performance Compaction for Test Responses with Many Unknowns}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.40}, doi = {10.1109/ATS.2010.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RabenaltRG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RahagudeCH10, author = {Nikhil P. Rahagude and Maheshwar Chandrasekar and Michael S. Hsiao}, title = {{DFT} + {DFD:} An Integrated Method for Design for Testability and Diagnosability}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {218--223}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.46}, doi = {10.1109/ATS.2010.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RahagudeCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RechBGPVD10, author = {Paolo Rech and Alberto Bosio and Patrick Girard and Serge Pravossoudovitch and Arnaud Virazel and Luigi Dilillo}, title = {A Memory Fault Simulator for Radiation-Induced Effects in SRAMs}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {100--105}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.26}, doi = {10.1109/ATS.2010.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RechBGPVD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SaeedS10, author = {Samah Mohamed Saeed and Ozgur Sinanoglu}, title = {XOR-Based Response Compactor Adaptive to X-Density Variation}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.45}, doi = {10.1109/ATS.2010.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SaeedS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SenDC10, author = {Shreyas Sen and Shyam Kumar Devarakond and Abhijit Chatterjee}, title = {Rapid Radio Frequency Amplitude and Phase Distortion Measurement Using Amplitude Modulated Stimulus}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {277--282}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.84}, doi = {10.1109/ATS.2010.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SenDC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShengK10, author = {Xiaoqin Sheng and Hans G. Kerkhoff}, title = {The Test Ability of an Adaptive Pulse Wave for {ADC} Testing}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.56}, doi = {10.1109/ATS.2010.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShengK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangCGR10, author = {Xun Tang and Wu{-}Tung Cheng and Ruifeng Guo and Sudhakar M. Reddy}, title = {Diagnosis of Multiple Physical Defects Using Logic Fault Models}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {94--99}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.25}, doi = {10.1109/ATS.2010.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangCGR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TaouilHBM10, author = {Mottaqiallah Taouil and Said Hamdioui and Kees Beenakker and Erik Jan Marinissen}, title = {Test Cost Analysis for 3D Die-to-Wafer Stacking}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {435--441}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.80}, doi = {10.1109/ATS.2010.80}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TaouilHBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XingF10, author = {Yizi Xing and Liquan Fang}, title = {Design-for-Test of Digitally-Assisted Analog IPs for Automotive SoCs}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {185--191}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.41}, doi = {10.1109/ATS.2010.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XingF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XuX10, author = {Shiyi Xu and Peng Xu}, title = {A Quasi-best Random Testing}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.13}, doi = {10.1109/ATS.2010.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XuX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XuX10a, author = {Peng Xu and Shiyi Xu}, title = {A Reliability Model for Object-Oriented Software}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {65--70}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.20}, doi = {10.1109/ATS.2010.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XuX10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeZHL10, author = {Jing Ye and Xiaolin Zhang and Yu Hu and Xiaowei Li}, title = {Substantial Fault Pair At-a-Time {(SFPAT):} An Automatic Diagnostic Pattern Generation Method}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {192--197}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.42}, doi = {10.1109/ATS.2010.42}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YeZHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YehW10, author = {Tung{-}Hua Yeh and Sying{-}Jyan Wang}, title = {Thermal Safe High Level Test Synthesis for Hierarchical Testability}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {337--342}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.64}, doi = {10.1109/ATS.2010.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YehW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YokoyamaTS10, author = {Hiroshi Yokoyama and Hideo Tamamoto and Kewal K. Saluja}, title = {Controlling Peak Power Consumption for Scan Based Multiple Weighted Random {BIST}}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {147--152}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.34}, doi = {10.1109/ATS.2010.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YokoyamaTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YonedaITF10, author = {Tomokazu Yoneda and Michiko Inoue and Akira Taketani and Hideo Fujiwara}, title = {Seed Ordering and Selection for High Quality Delay Test}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {313--318}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.60}, doi = {10.1109/ATS.2010.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YonedaITF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YouHIKF10, author = {Zhiqiang You and Jiedi Huang and Michiko Inoue and Jishun Kuang and Hideo Fujiwara}, title = {Capture in Turn Scan for Reduction of Test Data Volume, Test Application Time and Test Power}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {371--374}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.70}, doi = {10.1109/ATS.2010.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YouHIKF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YouHKCW10, author = {Jhih{-}Wei You and Shi{-}Yu Huang and Ding{-}Ming Kwai and Yung{-}Fa Chou and Cheng{-}Wen Wu}, title = {Performance Characterization of {TSV} in 3D {IC} via Sensitivity Analysis}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {389--394}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.73}, doi = {10.1109/ATS.2010.73}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/YouHKCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YuD10, author = {Jianjun Yu and Fa Foster Dai}, title = {On-chip Jitter Measurement Using Vernier Ring Time-to-Digital Converter}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {167--170}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.38}, doi = {10.1109/ATS.2010.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YuD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZadeganICL10, author = {Farrokh Ghani Zadegan and Urban Ingelsson and Gunnar Carlsson and Erik Larsson}, title = {Test Time Analysis for {IEEE} {P1687}}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {455--460}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.83}, doi = {10.1109/ATS.2010.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZadeganICL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangLL10, author = {Ying Zhang and Huawei Li and Xiaowei Li}, title = {Software-Based Self-Testing of Processors Using Expanded Instructions}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {415--420}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.77}, doi = {10.1109/ATS.2010.77}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhangLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangWGC10, author = {Zhaobo Zhang and Zhanglei Wang and Xinli Gu and Krishnendu Chakrabarty}, title = {Optimization and Selection of Diagnosis-Oriented Fault-Insertion Points for System Test}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {429--432}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.79}, doi = {10.1109/ATS.2010.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangWGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoC10, author = {Yang Zhao and Krishnendu Chakrabarty}, title = {Testing of Low-Cost Digital Microfluidic Biochips with Non-regular Array Layouts}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {27--32}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.14}, doi = {10.1109/ATS.2010.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhaoC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoMTC10, author = {Wei Zhao and Junxia Ma and Mohammad Tehranipoor and Sreejit Chakravarty}, title = {Power-Safe Application of Transition Delay Fault Patterns Considering Current Limit during Wafer Test}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {301--306}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.58}, doi = {10.1109/ATS.2010.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhaoMTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhengWYJG10, author = {Yan Zheng and Hong Wang and Shiyuan Yang and Chen Jiang and Feiyu Gao}, title = {Accelerating Strategy for Functional Test of NoC Communication Fabric}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {224--227}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.47}, doi = {10.1109/ATS.2010.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhengWYJG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhuLL10, author = {Dan Zhu and Tun Li and Sikun Li}, title = {On Soft Error Immunity of Sequential Circuits}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {106--110}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.27}, doi = {10.1109/ATS.2010.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhuLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2010, title = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5689827/proceeding}, isbn = {978-0-7695-4248-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AdolfssonSML09, author = {Dan Adolfsson and Joanna Siew and Erik Jan Marinissen and Erik Larsson}, title = {On Scan Chain Diagnosis for Intermittent Faults}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {47--54}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.74}, doi = {10.1109/ATS.2009.74}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AdolfssonSML09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Al-ArsH09, author = {Zaid Al{-}Ars and Said Hamdioui}, title = {Fault Diagnosis Using Test Primitives in Random Access Memories}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {403--408}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.79}, doi = {10.1109/ATS.2009.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Al-ArsH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AsianFVRH09, author = {Manuel J. Barragan Asian and Rafaella Fiorelli and Diego V{\'{a}}zquez and Adoraci{\'{o}}n Rueda and Jos{\'{e}} Luis Huertas}, title = {A {BIST} Solution for the Functional Characterization of {RF} Systems Based on Envelope Response Analysis}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {255--260}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.14}, doi = {10.1109/ATS.2009.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AsianFVRH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BenabboudBDGPVR09, author = {Youssef Benabboud and Alberto Bosio and Luigi Dilillo and Patrick Girard and Serge Pravossoudovitch and Arnaud Virazel and Olivia Riewer}, title = {Delay Fault Diagnosis in Sequential Circuits}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.16}, doi = {10.1109/ATS.2009.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BenabboudBDGPVR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CarloPS09, author = {Stefano Di Carlo and Paolo Prinetto and Alberto Scionti}, title = {A FPGA-Based Reconfigurable Software Architecture for Highly Dependable Systems}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.53}, doi = {10.1109/ATS.2009.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CarloPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChakrabortyC09, author = {Rupsa Chakraborty and Dipanwita Roy Chowdhury}, title = {A Novel Seed Selection Algorithm for Test Time Reduction in {BIST}}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.10}, doi = {10.1109/ATS.2009.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChakrabortyC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChakravadhanulaCKGU09, author = {Krishna Chakravadhanula and Vivek Chickermane and Brion L. Keller and Patrick R. Gallagher Jr. and Anis Uzzaman}, title = {Why is Conventional {ATPG} Not Sufficient for Advanced Low Power Designs?}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {295--300}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.80}, doi = {10.1109/ATS.2009.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChakravadhanulaCKGU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChangHLS09, author = {Chin{-}Yao Chang and Chih{-}Yuan Hsiao and Kuen{-}Jong Lee and Alan P. Su}, title = {Transaction Level Modeling and Design Space Exploration for {SOC} Test Architectures}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {200--205}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.33}, doi = {10.1109/ATS.2009.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChangHLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChangLC09, author = {Hsiu{-}Ming Chang and Kuan{-}Yu Lin and Kwang{-}Ting Cheng}, title = {Calibration as a Functional Test: An {ADC} Case Study}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {85--86}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.25}, doi = {10.1109/ATS.2009.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChangLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChaoC09, author = {An{-}Sheng Chao and Soon{-}Jyh Chang}, title = {A Jitter Characterizing {BIST} with Pulse-Amplifying Technique}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {379--384}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.23}, doi = {10.1109/ATS.2009.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChaoC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenCYL09, author = {Sung{-}Yu Chen and Ying{-}Yen Chen and Chun{-}Yu Yang and Jing{-}Jia Liou}, title = {Multiple-Core under Test Architecture for {HOY} Wireless Testing Platform}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.43}, doi = {10.1109/ATS.2009.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenCYL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenHTN09, author = {Shomo Chen and Ning Huang and Ting{-}Pu Tai and Actel Niu}, title = {Customized Algorithms for High Performance Memory Test in Advanced Technology Node}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {87--89}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.41}, doi = {10.1109/ATS.2009.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenHTN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenL09, author = {Ying{-}Yen Chen and Jing{-}Jia Liou}, title = {A Non-Intrusive and Accurate Inspection Method for Segment Delay Variabilities}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {343--348}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.32}, doi = {10.1109/ATS.2009.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenLC09, author = {Po{-}Juei Chen and James Chien{-}Mo Li and Hsing Jasmine Chao}, title = {Bridging Fault Diagnosis to Identify the Layer of Systematic Defects}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {349--354}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.58}, doi = {10.1109/ATS.2009.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenLWR09, author = {Tsung{-}Tang Chen and Wei{-}Lin Li and Po{-}Han Wu and Jiann{-}Chyi Rau}, title = {New Scheme of Reducing Shift and Capture Power Using the X-Filling Methodology}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.48}, doi = {10.1109/ATS.2009.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenLWR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenRRP09, author = {Gang Chen and Janusz Rajski and Sudhakar M. Reddy and Irith Pomeranz}, title = {N-distinguishing Tests for Enhanced Defect Diagnosis}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {183--186}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.47}, doi = {10.1109/ATS.2009.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenRRP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenWK09, author = {Po{-}Yuan Chen and Cheng{-}Wen Wu and Ding{-}Ming Kwai}, title = {On-Chip {TSV} Testing for 3D {IC} before Bonding Using Sense Amplification}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {450--455}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.42}, doi = {10.1109/ATS.2009.42}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChenWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChiLKW09, author = {Chun{-}Chuan Chi and Chih{-}Yen Lo and Te{-}Wen Ko and Cheng{-}Wen Wu}, title = {Test Integration for {SOC} Supporting Very Low-Cost Testers}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {287--292}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.51}, doi = {10.1109/ATS.2009.51}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChiLKW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChungJCL09, author = {Chen{-}I Chung and Jyun{-}Sian Jhou and Ching{-}Hwa Cheng and Sih{-}Yan Li}, title = {Functional Built-In Delay Binning and Calibration Mechanism for On-Chip at-Speed Self Test}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.72}, doi = {10.1109/ATS.2009.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChungJCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CzutroPERB09, author = {Alejandro Czutro and Ilia Polian and Piet Engelke and Sudhakar M. Reddy and Bernd Becker}, title = {Dynamic Compaction in SAT-Based {ATPG}}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {187--190}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.31}, doi = {10.1109/ATS.2009.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CzutroPERB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DasS09, author = {Sukanta Das and Biplab K. Sikdar}, title = {{CA} Based Built-In Self-Test Structure for SoC}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.71}, doi = {10.1109/ATS.2009.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DasS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DeepakRSS09, author = {K. G. Deepak and Robinson Reyna and Virendra Singh and Adit D. Singh}, title = {Leveraging Partially Enhanced Scan for Improved Observability in Delay Fault Testing}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {237--240}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.78}, doi = {10.1109/ATS.2009.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DeepakRSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EggersglussTD09, author = {Stephan Eggersgl{\"{u}}{\ss} and Daniel Tille and Rolf Drechsler}, title = {Speeding up SAT-Based {ATPG} Using Dynamic Clause Activation}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {177--182}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.26}, doi = {10.1109/ATS.2009.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EggersglussTD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EnokimotoWYMSKAF09, author = {Kazunari Enokimoto and Xiaoqing Wen and Yuta Yamato and Kohei Miyase and H. Sone and Seiji Kajihara and Masao Aso and Hiroshi Furukawa}, title = {{CAT:} {A} Critical-Area-Targeted Test Set Modification Scheme for Reducing Launch Switching Activity in At-Speed Scan Testing}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.22}, doi = {10.1109/ATS.2009.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EnokimotoWYMSKAF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FangCP09, author = {Hongxia Fang and Krishnendu Chakrabarty and Rubin A. Parekhji}, title = {Bit-Operation-Based Seed Augmentation for {LFSR} Reseeding with High Defect Coverage}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {331--336}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.65}, doi = {10.1109/ATS.2009.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FangCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Fey09, author = {G{\"{o}}rschwin Fey}, title = {Deterministic Algorithms for {ATPG} under Leakage Constraints}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {313--316}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.27}, doi = {10.1109/ATS.2009.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Fey09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GaoC09, author = {Ming Gao and Kwang{-}Ting Cheng}, title = {Low Overhead Time-Multiplexed Online Checking: {A} Case Study of An {H.264} Decoder}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.24}, doi = {10.1109/ATS.2009.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GaoC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoorHGA09, author = {Ad J. van de Goor and Said Hamdioui and Georgi Nedeltchev Gaydadjiev and Zaid Al{-}Ars}, title = {New Algorithms for Address Decoder Delay Faults and Bit Line Imbalance Faults}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {391--396}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.87}, doi = {10.1109/ATS.2009.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoorHGA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoyalSC09, author = {Abhilash Goyal and Madhavan Swaminathan and Abhijit Chatterjee}, title = {Self-Calibrating Embedded {RF} Down-Conversion Mixers}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.77}, doi = {10.1109/ATS.2009.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoyalSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Hamdioui09, author = {Said Hamdioui}, title = {Testing Embedded Memories in the Nano-Era: Will the Existing Approaches Survive?}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {339}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.92}, doi = {10.1109/ATS.2009.92}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Hamdioui09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiaoB09, author = {Michael S. Hsiao and Mainak Banga}, title = {Kiss the Scan Goodbye: {A} Non-scan Architecture for High Coverage, Low Test Data Volume and Low Test Application Time}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {225--230}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.17}, doi = {10.1109/ATS.2009.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiaoB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsuP09, author = {Dragon Hsu and Ron Press}, title = {Scan Compression Implementation in Industrial Design - Case Study}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {83--84}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.89}, doi = {10.1109/ATS.2009.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsuP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangCGTKC09, author = {Yu Huang and Wu{-}Tung Cheng and Ruifeng Guo and Ting{-}Pu Tai and Feng{-}Ming Kuo and Yuan{-}Shih Chen}, title = {Scan Chain Diagnosis by Adaptive Signal Profiling with Manufacturing {ATPG} Patterns}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.36}, doi = {10.1109/ATS.2009.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangCGTKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangL09, author = {Yu{-}Jen Huang and Jin{-}Fu Li}, title = {Testability Exploration of 3-D RAMs and CAMs}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {397--402}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.59}, doi = {10.1109/ATS.2009.59}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuangL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HungHL09, author = {Shao{-}Feng Hung and Hao{-}Chiao Hong and Sheng{-}Chuan Liang}, title = {A Low-Cost Output Response Analyzer for the Built-in-Self-Test S-? Modulator Based on the Controlled Sine Wave Fitting Method}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {385--388}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.88}, doi = {10.1109/ATS.2009.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HungHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IchiharaSYI09, author = {Hideyuki Ichihara and Kenta Sutoh and Yuki Yoshikawa and Tomoo Inoue}, title = {A Practical Approach to Threshold Test Generation for Error Tolerant Circuits}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {171--176}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.19}, doi = {10.1109/ATS.2009.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IchiharaSYI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JinHZLLY09, author = {Song Jin and Yinhe Han and Lei Zhang and Huawei Li and Xiaowei Li and Guihai Yan}, title = {{M-IVC:} Using Multiple Input Vectors to Minimize Aging-Induced Delay}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {437--442}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.57}, doi = {10.1109/ATS.2009.57}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/JinHZLLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KatohTZNI09, author = {Kentaroh Katoh and Toru Tanabe and Haque Md Zahidul and Kazuteru Namba and Hideo Ito}, title = {A Delay Measurement Technique Using Signature Registers}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.54}, doi = {10.1109/ATS.2009.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KatohTZNI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KellerMUB09, author = {Brion L. Keller and Dale Meehl and Anis Uzzaman and Richard Billings}, title = {A Partially-Exhaustive Gate Transition Fault Model}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {361--364}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.62}, doi = {10.1109/ATS.2009.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KellerMUB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KifliCTW09, author = {Augusli Kifli and Y. W. Chen and Yu{-}Wen Tsai and Kun{-}Cheng Wu}, title = {A Practical {DFT} Approach for Complex Low Power Designs}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {90--91}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.61}, doi = {10.1109/ATS.2009.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KifliCTW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimJWW09, author = {Hyoung{-}Kook Kim and Wen{-}Ben Jone and Laung{-}Terng Wang and Shianling Wu}, title = {Analysis of Resistive Bridging Defects in a Synchronizer}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {443--449}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.13}, doi = {10.1109/ATS.2009.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimJWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KookCNCGGJ09, author = {Sehun Kook and Hyun Woo Choi and Vishwanath Natarajan and Abhijit Chatterjee and Alfred V. Gomes and Shalabh Goyal and Le Jin}, title = {Low Cost Dynamic Test Methodology for High Precision {\(\Sigma\)}D ADCs}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {69--74}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.76}, doi = {10.1109/ATS.2009.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KookCNCGGJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KunduKC09, author = {Subhadip Kundu and S. Krishna Kumar and Santanu Chattopadhyay}, title = {Test Pattern Selection and Customization Targeting Reduced Dynamic and Leakage Power Consumption}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {307--312}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.35}, doi = {10.1109/ATS.2009.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KunduKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KuoC09, author = {Feng{-}Ming Kuo and Yuan{-}Shih Chen}, title = {Yield Ramp up by Scan Chain Diagnosis}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {94--95}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.70}, doi = {10.1109/ATS.2009.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KuoC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeCA09, author = {Jae Wook Lee and Ji Hwan (Paul) Chun and Jacob A. Abraham}, title = {A Random Jitter {RMS} Estimation Technique for {BIST} Applications}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {9--14}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.38}, doi = {10.1109/ATS.2009.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeNMF09, author = {Yeonbok Lee and Tasuku Nishihara and Takeshi Matsumoto and Masahiro Fujita}, title = {A Post-Silicon Debug Support Using High-Level Design Description}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.28}, doi = {10.1109/ATS.2009.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeNMF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeTLL09, author = {Lung{-}Jen Lee and Wang{-}Dauh Tseng and Rung{-}Bin Lin and Chen{-}Lun Lee}, title = {A Multi-dimensional Pattern Run-Length Method for Test Data Compression}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {325--330}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.49}, doi = {10.1109/ATS.2009.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeTLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeTLY09, author = {Lung{-}Jen Lee and Wang{-}Dauh Tseng and Rung{-}Bin Lin and Chi{-}Wei Yu}, title = {Deterministic Built-In Self-Test Using Multiple Linear Feedback Shift Registers for Low-Power Scan Testing}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.50}, doi = {10.1109/ATS.2009.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeTLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiHH09, author = {Katherine Shu{-}Min Li and Yu{-}Chen Hung and Jr{-}Yang Huang}, title = {Multiple Scan Trees Synthesis for Test Time/Data and Routing Length Reduction under Output Constraint}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.60}, doi = {10.1109/ATS.2009.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiLLH09, author = {Katherine Shu{-}Min Li and Yi{-}Yu Liao and Yuo{-}Wen Liu and Jr{-}Yang Huang}, title = {{IEEE} 1500 Compatible Interconnect Test with Maximal Test Concurrency}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {269--274}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.67}, doi = {10.1109/ATS.2009.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiLLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinCH09, author = {Jin{-}Fu Lin and Soon{-}Jyh Chang and Chih{-}Hao Huang}, title = {Design-for-Test Circuit for the Reduced Code Based Linearity Test Method in Pipelined ADCs with Digital Error Correction Technique}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.18}, doi = {10.1109/ATS.2009.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinK09, author = {Xijiang Lin and Mark Kassab}, title = {Test Generation for Designs with On-Chip Clock Generators}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {411--417}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.46}, doi = {10.1109/ATS.2009.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuHL09, author = {Jun Liu and Yinhe Han and Xiaowei Li}, title = {Extended Selective Encoding of Scan Slices for Reducing Test Data and Test Power}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {319--324}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.63}, doi = {10.1109/ATS.2009.63}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiuHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Mirza-AghatabarBG09, author = {Mohammad Mirza{-}Aghatabar and Melvin A. Breuer and Sandeep K. Gupta}, title = {{SIRUP:} Switch Insertion in RedUndant Pipeline Structures for Yield and Yield/Area Improvement}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {193--199}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.40}, doi = {10.1109/ATS.2009.40}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/Mirza-AghatabarBG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MittalDV09, author = {Mukund Mittal and Subrangshu Das and S. Vishwanath}, title = {{DFT} Challenges in Next Generation Multi-media {IP}}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {92--93}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.73}, doi = {10.1109/ATS.2009.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MittalDV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NatarajanDSC09, author = {Vishwanath Natarajan and Shyam Kumar Devarakond and Shreyas Sen and Abhijit Chatterjee}, title = {{BIST} Driven Power Conscious Post-Manufacture Tuning of Wireless Transceiver Systems Using Hardware-Iterated Gradient Search}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {243--248}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.75}, doi = {10.1109/ATS.2009.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NatarajanDSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ParkCA09, author = {Joonsung Park and Jaeyong Chung and Jacob A. Abraham}, title = {LFSR-Based Performance Characterization of Nonlinear Analog and Mixed-Signal Circuits}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {373--378}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.66}, doi = {10.1109/ATS.2009.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ParkCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PeiLL09, author = {Songwei Pei and Huawei Li and Xiaowei Li}, title = {A Low Overhead On-Chip Path Delay Measurement Circuit}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.64}, doi = {10.1109/ATS.2009.64}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/PeiLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PerezRSRT09, author = {Wilson J. P{\'{e}}rez H. and Danilo Ravotto and Edgar E. S{\'{a}}nchez and Matteo Sonza Reorda and Alberto Paolo Tonda}, title = {On the Generation of Functional Test Programs for the Cache Replacement Logic}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {418--423}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.37}, doi = {10.1109/ATS.2009.37}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/PerezRSRT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PomeranzR09, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {Fault Diagnosis under Transparent-Scan}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {29--34}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.12}, doi = {10.1109/ATS.2009.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PomeranzR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PousALNR09, author = {Nicolas Pous and Florence Aza{\"{\i}}s and Laurent Latorre and Pascal Nouet and Jochen Rivoir}, title = {Exploiting Zero-Crossing for the Analysis of {FM} Modulated Analog/RF Signals Using Digital {ATE}}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.56}, doi = {10.1109/ATS.2009.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PousALNR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PrabhakarH09, author = {Sandesh Prabhakar and Michael S. Hsiao}, title = {Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.20}, doi = {10.1109/ATS.2009.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PrabhakarH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QiLLWHLH09, author = {Zichu Qi and Hui Liu and Xiangku Li and Da Wang and Yinhe Han and Huawei Li and Weiwu Hu}, title = {A Scalable Scan Architecture for Godson-3 Multicore Microprocessor}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {219--224}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.52}, doi = {10.1109/ATS.2009.52}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/QiLLWHLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QianWYZJLZMLCWYYZW09, author = {Jun Qian and Xingang Wang and Qinfu Yang and Fei Zhuang and Junbo Jia and Xiangfeng Li and Yuan Zuo and Jayanth Mekkoth and Jinsong Liu and Hao{-}Jan Chao and Shianling Wu and Huafeng Yang and Lizhen Yu and FeiFei Zhao and Laung{-}Terng Wang}, title = {Logic {BIST} Architecture for System-Level Test and Diagnosis}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.34}, doi = {10.1109/ATS.2009.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/QianWYZJLZMLCWYYZW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShenLMLC09, author = {Shiue{-}Tsung Shen and Wei{-}Hsiao Liu and En{-}Hua Ma and James Chien{-}Mo Li and I{-}Chun Cheng}, title = {Very-Low-Voltage Testing of Amorphous Silicon {TFT} Circuits}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {75--80}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.68}, doi = {10.1109/ATS.2009.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShenLMLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShintaniUTUSHAM09, author = {Michihiro Shintani and Takumi Uezono and Tomoyuki Takahashi and Hiroyuki Ueyama and Takashi Sato and Kazumi Hatayama and Takashi Aikyo and Kazuya Masu}, title = {An Adaptive Test for Parametric Faults Based on Statistical Timing Information}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.90}, doi = {10.1109/ATS.2009.90}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ShintaniUTUSHAM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SindiaSA09, author = {Suraj Sindia and Virendra Singh and Vishwani D. Agrawal}, title = {Multi-tone Testing of Linear and Nonlinear Analog Circuits Using Polynomial Coefficients}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.45}, doi = {10.1109/ATS.2009.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SindiaSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TakahashiHTYTYH09, author = {Hiroshi Takahashi and Yoshinobu Higami and Yuzo Takamatsu and Koji Yamazaki and Toshiyuki Tsutsumi and Hiroyuki Yotsuyanagi and Masaki Hashizume}, title = {New Class of Tests for Open Faults with Considering Adjacent Lines}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {301--306}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.39}, doi = {10.1109/ATS.2009.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TakahashiHTYTYH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangGCRH09, author = {Xun Tang and Ruifeng Guo and Wu{-}Tung Cheng and Sudhakar M. Reddy and Yu Huang}, title = {On Improving Diagnostic Test Generation for Scan Chain Failures}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {41--46}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.21}, doi = {10.1109/ATS.2009.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangGCRH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TsaiGC09, author = {Kun{-}Han Tsai and Ruifeng Guo and Wu{-}Tung Cheng}, title = {At-Speed Scan Test Method for the Timing Optimization and Calibration}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {430--433}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.29}, doi = {10.1109/ATS.2009.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TsaiGC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Uzzaman09, author = {Anis Uzzaman}, title = {Is Low Power Testing Necessary? What does the Test Industry Truly Need?}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {215--216}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.91}, doi = {10.1109/ATS.2009.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Uzzaman09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XiangYC09, author = {Dong Xiang and Boxue Yin and Krishnendu Chakrabarty}, title = {Compact Test Generation for Small-Delay Defects Using Testable-Path Information}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {424--429}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.44}, doi = {10.1109/ATS.2009.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XiangYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangHH09, author = {Chen{-}Yuan Yang and Xuan{-}Lun Huang and Jiun{-}Lang Huang}, title = {An On-Chip Integrator Leakage Characterization Technique and Its Application to Switched Capacitor Circuits Testing}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {367--372}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.55}, doi = {10.1109/ATS.2009.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YaoSR09, author = {Chunhua Yao and Kewal K. Saluja and Parameswaran Ramanathan}, title = {Partition Based SoC Test Scheduling with Thermal and Power Constraints under Deep Submicron Technologies}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {281--286}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.15}, doi = {10.1109/ATS.2009.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YaoSR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangHYX09, author = {Yubin Zhang and Lin Huang and Feng Yuan and Qiang Xu}, title = {Test Pattern Selection for Potentially Harmful Open Defects in Power Distribution Networks}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {456--461}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.69}, doi = {10.1109/ATS.2009.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangHYX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhuLYS09, author = {Jun{-}Jie Zhu and Wen{-}Ching Lin and Jheng{-}Hao Ye and Ming{-}Der Shieh}, title = {Efficient Software-Based Self-Test Methods for Embedded Digital Signal Processors}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.30}, doi = {10.1109/ATS.2009.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhuLYS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2009, title = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5359186/proceeding}, isbn = {978-0-7695-3864-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Abdennadher08, author = {Salem Abdennadher}, title = {Effects of Advances in Analog, Mixed Signal and {IO} Circuits on Test Strategies}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {145}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.94}, doi = {10.1109/ATS.2008.94}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Abdennadher08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AgarwalVRPG08, author = {Khushboo Agarwal and Srinivas Vooka and Srivaths Ravi and Rubin A. Parekhji and Arjun Singh Gill}, title = {Power Analysis and Reduction Techniques for Transition Fault Testing}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {403--408}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.86}, doi = {10.1109/ATS.2008.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AgarwalVRPG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlampallyAPKW08, author = {Srinivasulu Alampally and Jais Abraham and Rubin A. Parekhji and Rohit Kapur and Thomas W. Williams}, title = {Evaluation of Entropy Driven Compression Bounds on Industrial Designs}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.89}, doi = {10.1109/ATS.2008.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AlampallyAPKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AsamiSMTK08, author = {Koji Asami and Hidetaka Suzuki and Hiroyuki Miyajima and Tetsuya Taura and Haruo Kobayashi}, title = {Technique to Improve the Performance of Time-Interleaved {A-D} Converters with Mismatches of Non-linearity}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.34}, doi = {10.1109/ATS.2008.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AsamiSMTK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BahukudumbiC08, author = {Sudarshan Bahukudumbi and Krishnendu Chakrabarty}, title = {Power Management for Wafer-Level Test During Burn-In}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {231--236}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.26}, doi = {10.1109/ATS.2008.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BahukudumbiC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BandoT08, author = {Koichi Bando and Kenji Tanaka}, title = {Analyses on Trend of Accidents in Financial Information Systems Reported by Newspapers from the Viewpoint of Dependability}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {444--450}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.65}, doi = {10.1109/ATS.2008.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BandoT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BoeyYN08, author = {Keanhong Boey and Kok Sing Yap and Wai Mun Ng}, title = {{USB2.0} Logic Built In Self Test Methodology}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {266}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.84}, doi = {10.1109/ATS.2008.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BoeyYN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BosioN08, author = {Alberto Bosio and Giorgio Di Natale}, title = {{LIFTING:} {A} Flexible Open-Source Fault Simulator}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.17}, doi = {10.1109/ATS.2008.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BosioN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CarloNM08, author = {Stefano Di Carlo and Giorgio Di Natale and Riccardo Mariani}, title = {On-Line Instruction-Checking in Pipelined Microprocessors}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.47}, doi = {10.1109/ATS.2008.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CarloNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/CarloSSP08, author = {Stefano Di Carlo and Alessandro Savino and Alberto Scionti and Paolo Prinetto}, title = {Influence of Parasitic Capacitance Variations on 65 nm and 32 nm Predictive Technology Model {SRAM} Core-Cells}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {411--416}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.13}, doi = {10.1109/ATS.2008.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/CarloSSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chakravadhanula08, author = {Krishna Chakravadhanula and Vivek Chickermane and Brion L. Keller and Patrick R. Gallagher Jr. and Steven Gregor}, title = {Test Generation for State Retention Logic}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.73}, doi = {10.1109/ATS.2008.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chakravadhanula08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChandraK08, author = {Anshuman Chandra and Rohit Kapur}, title = {Not All Xs are Bad for Scan Compression}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.37}, doi = {10.1109/ATS.2008.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChandraK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChangLC08, author = {Hsiu{-}Ming (Sherman) Chang and Min{-}Sheng (Mitchell) Lin and Kwang{-}Ting (Tim) Cheng}, title = {Digitally-Assisted Analog/RF Testing for Mixed-Signal SoCs}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.24}, doi = {10.1109/ATS.2008.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChangLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengBGTKMNFO08, author = {Wu{-}Tung Cheng and Brady Benware and Ruifeng Guo and Kun{-}Han Tsai and Takeo Kobayashi and Kazuyuki Maruo and Michinobu Nakao and Yoshiaki Fukui and Hideyuki Otake}, title = {Enhancing Transition Fault Model for Delay Defect Diagnosis}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.44}, doi = {10.1109/ATS.2008.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengBGTKMNFO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengLC08, author = {Nai{-}Chen Daniel Cheng and Yu Lee and Ji{-}Jan Chen}, title = {Experimental Results of Built-In Jitter Measurement for Gigahertz Clock}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {268}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.50}, doi = {10.1109/ATS.2008.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChunKKYK08, author = {Sunghoon Chun and YongJoon Kim and Taejin Kim and Myung{-}Hoon Yang and Sungho Kang}, title = {{XPDF-ATPG:} An Efficient Test Pattern Generation for Crosstalk-Induced Faults}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {83--88}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.57}, doi = {10.1109/ATS.2008.57}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChunKKYK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DaiYKH08, author = {Gui Dai and Zhiqiang You and Jishun Kuang and Jiedi Huang}, title = {DCScan: {A} Power-Aware Scan Testing Architecture}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {348--455}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.61}, doi = {10.1109/ATS.2008.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DaiYKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FeyKFF08, author = {G{\"{o}}rschwin Fey and Satoshi Komatsu and Yasuo Furukawa and Masahiro Fujita}, title = {Targeting Leakage Constraints during {ATPG}}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {225--230}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.14}, doi = {10.1109/ATS.2008.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FeyKFF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FurukawaWMYKGWT08, author = {Hiroshi Furukawa and Xiaoqing Wen and Kohei Miyase and Yuta Yamato and Seiji Kajihara and Patrick Girard and Laung{-}Terng Wang and Mohammad Tehranipoor}, title = {{CTX:} {A} Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {397--402}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.27}, doi = {10.1109/ATS.2008.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FurukawaWMYKGWT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GaoCLC08, author = {Ming Gao and Hsiu{-}Ming (Sherman) Chang and Peter Lisherness and Kwang{-}Ting (Tim) Cheng}, title = {Time-Multiplexed Online Checking: {A} Feasibility Study}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {371--376}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.23}, doi = {10.1109/ATS.2008.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GaoCLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Goller08, author = {Hagen Goller}, title = {The HiZ Problem of Power Management {IC} Testing}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {193}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.21}, doi = {10.1109/ATS.2008.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Goller08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoyalS08, author = {Abhilash Goyal and Madhavan Swaminathan}, title = {Low-Cost One-Port Approach for Testing Integrated {RF} Substrates}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.56}, doi = {10.1109/ATS.2008.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoyalS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HePE08, author = {Zhiyuan He and Zebo Peng and Petru Eles}, title = {Simulation-Driven Thermal-Safe Test Time Minimization for System-on-Chip}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {283--288}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.79}, doi = {10.1109/ATS.2008.79}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HePE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HigamiSTKT08, author = {Yoshinobu Higami and Kewal K. Saluja and Hiroshi Takahashi and Shin{-}ya Kobayashi and Yuzo Takamatsu}, title = {Increasing Defect Coverage by Generating Test Vectors for Stuck-Open Faults}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.39}, doi = {10.1109/ATS.2008.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HigamiSTKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Hirai08, author = {Nobuyuki Hirai}, title = {Detectability of the Two-Dimensional Detector for Time Resolved Emission Measurement}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {272}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.30}, doi = {10.1109/ATS.2008.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Hirai08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Hirase08, author = {Junichi Hirase}, title = {Defect Detection Rate through {IDDQ} for Production Testing}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {199--205}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.88}, doi = {10.1109/ATS.2008.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Hirase08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehLLK08, author = {Ming{-}Ting Hsieh and Shun{-}Yen Lu and Jing{-}Jia Liou and Augusli Kifli}, title = {High Quality Pattern Generation for Delay Defects with Functional Sensitized Paths}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.41}, doi = {10.1109/ATS.2008.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehLLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsuDWLHW08, author = {Chun{-}Kai Hsu and Li{-}Ming Denq and Mao{-}Yin Wang and Jing{-}Jia Liou and Chih{-}Tsun Huang and Cheng{-}Wen Wu}, title = {Area and Test Cost Reduction for On-Chip Wireless Test Channels with System-Level Design Techniques}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {245--250}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.19}, doi = {10.1109/ATS.2008.19}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HsuDWLHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangL08, author = {Yu{-}Jen Huang and Jin{-}Fu Li}, title = {A Low-Cost Pipelined {BIST} Scheme for Homogeneous RAMs in Multicore Chips}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {357--362}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.51}, doi = {10.1109/ATS.2008.51}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuangL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangLH08, author = {Jui{-}Jer Huang and Chiuan{-}Che Li and Jiun{-}Lang Huang}, title = {Testing {LCD} Source Driver {IC} with Built-on-Scribe-Line Test Circuitry}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {117--122}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.68}, doi = {10.1109/ATS.2008.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IngelssonAH08, author = {Urban Ingelsson and Bashir M. Al{-}Hashimi and Peter Harrod}, title = {Variation Aware Analysis of Bridging Fault Testing}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.18}, doi = {10.1109/ATS.2008.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IngelssonAH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/InoueHF08, author = {Ryoichi Inoue and Toshinori Hosokawa and Hideo Fujiwara}, title = {A Test Generation Method for State-Observable FSMs to Increase Defect Coverage under the Test Length Constraint}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {27--34}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.32}, doi = {10.1109/ATS.2008.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/InoueHF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KellerBBFU08, author = {Brion L. Keller and Sandeep Bhatia and Thomas Bartenstein and Brian Foutz and Anis Uzzaman}, title = {Optimizing Test Data Volume Using Hybrid Compression}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.78}, doi = {10.1109/ATS.2008.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KellerBBFU08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Khoo08, author = {Leslie Khoo}, title = {Electrical Overstress Prevention {\&} Test Best Practices}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {146}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.49}, doi = {10.1109/ATS.2008.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Khoo08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KhusyariNJANAO08, author = {Khairul Khusyari and Wei Tee Ng and Neal Jaarsma and Robert Abraham and Peng Weng Ng and Boon Hui Ang and Chin Hu Ong}, title = {Diagnosis of Voltage Dependent Scan Chain Failure Using {VBUMP} Scan Debug Method}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {271}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.66}, doi = {10.1109/ATS.2008.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KhusyariNJANAO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimCKYK08, author = {Taejin Kim and Sunghoon Chun and YongJoon Kim and Myung{-}Hoon Yang and Sungho Kang}, title = {An Effective Hybrid Test Data Compression Method Using Scan Chain Compaction and Dictionary-Based Scheme}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.58}, doi = {10.1109/ATS.2008.58}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimCKYK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimPAV08, author = {Dongok Kim and Irith Pomeranz and M. Enamul Amyeen and Srikanth Venkataraman}, title = {Prioritizing the Application of {DFM} Guidelines Based on the Detectability of Systematic Defects}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {217--220}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.69}, doi = {10.1109/ATS.2008.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimPAV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KitoT08, author = {Nobutaka Kito and Naofumi Takagi}, title = {Level-Testability of Multi-operand Adders}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {260--262}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.40}, doi = {10.1109/ATS.2008.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KitoT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KojimaNMF08, author = {Yoshihisa Kojima and Tasuku Nishihara and Takeshi Matsumoto and Masahiro Fujita}, title = {An Interactive Verification and Debugging Environment by Concrete/Symbolic Simulations for System-Level Designs}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {315--320}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.62}, doi = {10.1109/ATS.2008.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KojimaNMF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KuangXY08, author = {Jishun Kuang and Ouyang Xiong and Zhiqiang You}, title = {A Novel {BIST} Scheme Using Test Vectors Applied by Circuit-under-Test Itself}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {75--80}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.25}, doi = {10.1109/ATS.2008.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KuangXY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LaiW08, author = {Nan{-}Cheng Lai and Sying{-}Jyan Wang}, title = {On-Chip Test Generation Mechanism for Scan-Based Two-Pattern Tests}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {251--256}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.46}, doi = {10.1109/ATS.2008.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LaiW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LarssonZLC08, author = {Anders Larsson and Xin Zhang and Erik Larsson and Krishnendu Chakrabarty}, title = {Core-Level Compression Technique Selection and {SOC} Test Architecture Design}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {277--282}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.71}, doi = {10.1109/ATS.2008.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LarssonZLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeNSC08, author = {Deuk Lee and Vishwanath Natarajan and Rajarajan Senguttuvan and Abhijit Chatterjee}, title = {Efficient Low-Cost Testing of Wireless {OFDM} Polar Transceiver Systems}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.87}, doi = {10.1109/ATS.2008.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeNSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiH08, author = {Katherine Shu{-}Min Li and Jr{-}Yang Huang}, title = {Interconnect-Driven Layout-Aware Multiple Scan Tree Synthesis for Test Time, Data Compression and Routing Optimization}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.80}, doi = {10.1109/ATS.2008.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiXXW08, author = {Ming Li and Shiyi Xu and Enjun Xia and Fayu Wang}, title = {Design of {FSM} with Concurrent Error Detection Based on Viterbi Decoding}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {383--388}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.20}, doi = {10.1109/ATS.2008.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiXXW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinKC08, author = {Jin{-}Fu Lin and Te{-}Chieh Kung and Soon{-}Jyh Chang}, title = {A Reduced Code Linearity Test Method for Pipelined {A/D} Converters}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.53}, doi = {10.1109/ATS.2008.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinR08, author = {Xijiang Lin and Janusz Rajski}, title = {Test Power Reduction by Blocking Scan Cell Outputs}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {329--336}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.33}, doi = {10.1109/ATS.2008.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinTLYC08, author = {Yi{-}Cheng Lin and Yi{-}Ying Tsai and Kuen{-}Jong Lee and Cheng{-}Wei Yen and Chung{-}Ho Chen}, title = {A Software-Based Test Methodology for Direct-Mapped Data Cache}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {363--368}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.60}, doi = {10.1109/ATS.2008.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinTLYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinWH08, author = {Yi{-}Tsung Lin and Meng{-}Fan Wu and Jiun{-}Lang Huang}, title = {PHS-Fill: {A} Low Power Supply Noise Test Pattern Generation Technique for At-Speed Scan Testing in Huffman Coding Test Compression Environment}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {391--396}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.63}, doi = {10.1109/ATS.2008.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuCTRZS08, author = {Chen Liu and Wu{-}Tung Cheng and Huaxing Tang and Sudhakar M. Reddy and Wei Zou and Manish Sharma}, title = {Hyperactive Faults Dictionary to Increase Diagnosis Throughput}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.16}, doi = {10.1109/ATS.2008.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuCTRZS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuX08, author = {Xiao Liu and Qiang Xu}, title = {On Reusing Test Access Mechanisms for Debug Data Transfer in SoC Post-Silicon Validation}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {303--308}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.83}, doi = {10.1109/ATS.2008.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LoCSW08, author = {Wan{-}Yu Lo and Ching{-}Yi Chen and Chin{-}Lung Su and Cheng{-}Wen Wu}, title = {Test and Diagnosis Algorithm Generation and Evaluation for {MRAM} Write Disturbance Fault}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {417--422}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.29}, doi = {10.1109/ATS.2008.29}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LoCSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Maeda08, author = {Akinori Maeda}, title = {Low Distortion Sine Waveform Generation by an {AWG}}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {147}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.28}, doi = {10.1109/ATS.2008.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Maeda08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MatsushimaMT08, author = {Jun Matsushima and Yoichi Maeda and Masahiro Takakura}, title = {CooLBIST: An Effective Approach of Test Power Reduction for {LBIST}}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {264}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.36}, doi = {10.1109/ATS.2008.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MatsushimaMT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MitraGRBMC08, author = {Debasis Mitra and Sarmishtha Ghoshal and Hafizur Rahaman and Bhargab B. Bhattacharya and D. Dutta Majumder and Krishnendu Chakrabarty}, title = {Accelerated Functional Testing of Digital Microfluidic Biochips}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {295--300}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.48}, doi = {10.1109/ATS.2008.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MitraGRBMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MolaviEC08, author = {Shawn Molavi and Andy Evans and Ray Clancy}, title = {Protocol Aware Test Methodologies Using Today}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {273}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.74}, doi = {10.1109/ATS.2008.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MolaviEC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MuradaliHS08, author = {Fidel Muradali and Suzanne Huh and Madhavan Swaminathan}, title = {Load-Board/PCB Noise Suppression via Electromagnetic Band Gap Power Plane Patterning}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {195}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.43}, doi = {10.1109/ATS.2008.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MuradaliHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RaikFUK08, author = {Jaan Raik and Hideo Fujiwara and Raimund Ubar and Anna Krivenko}, title = {Untestable Fault Identification in Sequential Circuits Using Model-Checking}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.22}, doi = {10.1109/ATS.2008.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RaikFUK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SasakiNA08, author = {Tomonori Sasaki and Yoshiyuki Nakamura and Toshiharu Asaka}, title = {Shared At-Speed {BIST} for Parallel Test of SRAMs with Different Address Sizes}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {267}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.31}, doi = {10.1109/ATS.2008.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SasakiNA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShenWCCG08, author = {Haihua Shen and Wenli Wei and Yunji Chen and Bowen Chen and Qi Guo}, title = {Coverage Directed Test Generation: Godson Experience}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {321--326}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.42}, doi = {10.1109/ATS.2008.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShenWCCG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShinG08, author = {Doochul Shin and Sandeep K. Gupta}, title = {A Re-design Technique for Datapath Modules in Error Tolerant Applications}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {431--437}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.75}, doi = {10.1109/ATS.2008.75}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ShinG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SinhaGB08, author = {Arani Sinha and Sandeep K. Gupta and Melvin A. Breuer}, title = {A Multi-valued Algebra for Capacitance Induced Crosstalk Delay Faults}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {89--96}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.82}, doi = {10.1109/ATS.2008.82}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/SinhaGB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SmithS08, author = {Ricky Smith and Jiang Shi}, title = {{DFT} Technique to Conclusively Translate Floating Nodes to High {IDDQ} Current in Analog Circuits}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {270}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.70}, doi = {10.1109/ATS.2008.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SmithS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TakayukiEI08, author = {Katayama Takayuki and Kou Ebihara and Goro Imaizumi}, title = {Leading Edge Technology and Test Noise}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {269}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.85}, doi = {10.1109/ATS.2008.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TakayukiEI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TsaiGC08, author = {Kun{-}Han Tsai and Ruifeng Guo and Wu{-}Tung Cheng}, title = {A Robust Automated Scan Pattern Mismatch Debugger}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {309--314}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.45}, doi = {10.1109/ATS.2008.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TsaiGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TzengH08, author = {Chao{-}Wen Tzeng and Shi{-}Yu Huang}, title = {Two-Gear Low-Power Scan Test}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {337--342}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.54}, doi = {10.1109/ATS.2008.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TzengH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Uzzaman08, author = {Anis Uzzaman}, title = {How To Increase the Effectiveness of Yield Diagnostics-Is {DFM} the Answer to This?}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {221}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.95}, doi = {10.1109/ATS.2008.95}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Uzzaman08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangH0Y008, author = {Fei Wang and Yu Hu and Yu Huang and Jing Ye and Xiaowei Li}, title = {Observation Point Oriented Deterministic Diagnosis Pattern Generation {(DDPG)} for Chain Diagnosis}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {190--192}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.52}, doi = {10.1109/ATS.2008.52}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WangH0Y008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangW08, author = {Seongmoon Wang and Wenlong Wei}, title = {Cost Efficient Methods to Improve Performance of Broadcast Scan}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {163--169}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.72}, doi = {10.1109/ATS.2008.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WerkmannKF08, author = {Hubert Werkmann and Dong{-}Myong Kim and Shinji Fujita}, title = {{GDDR5} Training}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {423--428}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.67}, doi = {10.1109/ATS.2008.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WerkmannKF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuCTW08, author = {Sean H. Wu and Sreejit Chakravarty and Alexander Tetelbaum and Li{-}C. Wang}, title = {Refining Delay Test Methodology Using Knowledge of Asymmetric Transition Delay}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {142--144}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.76}, doi = {10.1109/ATS.2008.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuCTW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuFSWCYWM08, author = {Shianling Wu and Hiroshi Furukawa and Boryau Sheu and Laung{-}Terng Wang and Hao{-}Jan Chao and Lizhen Yu and Xiaoqing Wen and Michio Murakami}, title = {Practical Challenges in Logic {BIST} Implementation}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {265}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.59}, doi = {10.1109/ATS.2008.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuFSWCYWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YamaguchiI08, author = {Takahiro J. Yamaguchi and Masahiro Ishida}, title = {Total Jitter Measurement for Testing {HSIO} Integrated SoCs}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {194}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.38}, doi = {10.1109/ATS.2008.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YamaguchiI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YiPK08, author = {Hyunbean Yi and Sungju Park and Sandip Kundu}, title = {A Design-for-Debug (DfD) for NoC-Based SoC Debugging via NoC}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.15}, doi = {10.1109/ATS.2008.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YiPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YogiA08, author = {Nitin Yogi and Vishwani D. Agrawal}, title = {Sequential Circuit {BIST} Synthesis Using Spectrum and Noise from {ATPG} Patterns}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {69--74}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.64}, doi = {10.1109/ATS.2008.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YogiA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YuYOF08, author = {Thomas Edison Yu and Tomokazu Yoneda and Satoshi Ohtake and Hideo Fujiwara}, title = {Identifying Non-Robust Untestable {RTL} Paths in Circuits with Multi-cycle Paths}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.55}, doi = {10.1109/ATS.2008.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YuYOF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangL008, author = {Ying Zhang and Huawei Li and Xiaowei Li}, title = {Reliable Network-on-Chip Router for Crosstalk and Soft Error Tolerance}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {438--443}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.35}, doi = {10.1109/ATS.2008.35}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ZhangL008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhuangJL08, author = {Fei Zhuang and Junbo Jia and Xiangfeng Li}, title = {System Level {LBIST} Implementation}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {263}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.81}, doi = {10.1109/ATS.2008.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhuangJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2008, title = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4711536/proceeding}, isbn = {978-0-7695-3396-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Abraham07, author = {Jacob Abraham}, title = {Keynote Speech 1: New Paths for Test}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {3}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.105}, doi = {10.1109/ATS.2007.105}, timestamp = {Wed, 09 Nov 2022 21:30:34 +0100}, biburl = {https://dblp.org/rec/conf/ats/Abraham07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AkbaySC07, author = {Selim Sermet Akbay and Shreyas Sen and Abhijit Chatterjee}, title = {Testing {RF} Components with Supply Current Signatures}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {393--398}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.87}, doi = {10.1109/ATS.2007.87}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AkbaySC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AzaisLR07, author = {Florence Aza{\"{\i}}s and Laurent Larguier and Michel Renovell}, title = {Impact of Simultaneous Switching Noise on the Static behavior of Digital {CMOS} Circuits}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {239--244}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.73}, doi = {10.1109/ATS.2007.73}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AzaisLR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BastianGGLNPV07, author = {Magali Bastian and Vincent Gouin and Patrick Girard and Christian Landrault and Alexandre Ney and Serge Pravossoudovitch and Arnaud Virazel}, title = {Influence of Threshold Voltage Deviations on 90nm {SRAM} Core-Cell Behavior}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {507--510}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.121}, doi = {10.1109/ATS.2007.121}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BastianGGLNPV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BementK07, author = {David Bement and David Karr}, title = {Bluetooth Hopping {BER} Testing Methodologies on a Production Test Platform}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {517}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.44}, doi = {10.1109/ATS.2007.44}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BementK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chakravadhanula07, author = {Krishna Chakravadhanula and Nitin Parimi and Brian Foutz and Bing Li and Vivek Chickermane}, title = {Low Power Reduced Pin Count Test Methodology}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {251--258}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.81}, doi = {10.1109/ATS.2007.81}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chakravadhanula07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenKBSL07, author = {Bo{-}Hua Chen and Wei{-}Chung Kao and Bing{-}Chuan Bai and Shyue{-}Tsong Shen and James C.{-}M. Li}, title = {Response Inversion Scan Cell {(RISC):} {A} Peak Capture Power Reduction Technique}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {425--432}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.74}, doi = {10.1109/ATS.2007.74}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenKBSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenO07, author = {Mingjing Chen and Alex Orailoglu}, title = {Improving Circuit Robustness with Cost-Effective Soft-Error-Tolerant Sequential Elements}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {307--312}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.51}, doi = {10.1109/ATS.2007.51}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChenS07, author = {Hung{-}Kai Chen and Chauchin Su}, title = {A Test and Diagnosis Methodology for {RF} Transceivers}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {135--138}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.29}, doi = {10.1109/ATS.2007.29}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChenS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengAMMWW07, author = {Jacob Abraham and Salvador Mir and Yinghua Min and Jeremy Wang and Cheng{-}Wen Wu}, title = {Test Education in the Global Economy}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {53}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.110}, doi = {10.1109/ATS.2007.110}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/ChengAMMWW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChengLC07, author = {Nai{-}Chen Daniel Cheng and Yu Lee and Ji{-}Jan Chen}, title = {A 2-ps Resolution Wide Range {BIST} Circuit for Jitter Measurement}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {219--223}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.46}, doi = {10.1109/ATS.2007.46}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChengLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chew07, author = {Choon{-}Sang Chew}, title = {Issues Regarding New Product Release in Semiconductor Manufacturing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {473}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.16}, doi = {10.1109/ATS.2007.16}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chew07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Chien07, author = {Kary Chien}, title = {Invited Talk 3: Foundry Full-Scale Reliability Testing Capability Setup for Advanced Technology}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {9}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.109}, doi = {10.1109/ATS.2007.109}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Chien07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChunKK07, author = {Sunghoon Chun and YongJoon Kim and Sungho Kang}, title = {High-MDSI: {A} High-level Signal Integrity Fault Test Pattern Generation Method for Interconnects}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {115--120}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.58}, doi = {10.1109/ATS.2007.58}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChunKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DasH07, author = {Ramashis Das and John P. Hayes}, title = {Monitoring Transient Errors in Sequential Circuits}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {319--322}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.84}, doi = {10.1109/ATS.2007.84}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DasH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DenqW07, author = {Li{-}Ming Denq and Cheng{-}Wen Wu}, title = {A Hybrid {BIST} Scheme for Multiple Heterogeneous Embedded Memories}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {349--354}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.12}, doi = {10.1109/ATS.2007.12}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DenqW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EggersglussD07, author = {Stephan Eggersgl{\"{u}}{\ss} and Rolf Drechsler}, title = {Improving Test Pattern Compactness in SAT-based {ATPG}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {445--452}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.14}, doi = {10.1109/ATS.2007.14}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EggersglussD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/El-MalehAA07, author = {Aiman H. El{-}Maleh and Mustafa Imran Ali and Ahmad A. Al{-}Yamani}, title = {A Reconfigurable Broadcast Scan Compression Scheme Using Relaxation Based Test Vector Decompos}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {91--94}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.41}, doi = {10.1109/ATS.2007.41}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/El-MalehAA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EngelkeBPRB07, author = {Piet Engelke and Bettina Braitling and Ilia Polian and Michel Renovell and Bernd Becker}, title = {{SUPERB:} Simulator Utilizing Parallel Evaluation of Resistive Bridges}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {433--438}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.71}, doi = {10.1109/ATS.2007.71}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EngelkeBPRB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FanHW07, author = {Xiaoxin Fan and Yu Hu and Laung{-}Terng Wang}, title = {An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {341--348}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.61}, doi = {10.1109/ATS.2007.61}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FanHW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FanLH07, author = {Jenny Fan and Xiao{-}Yu Li and Ismed Hartanto}, title = {Using {FPGA} configuration memory to accelerate yield learning for advanced process}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {511--516}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.24}, doi = {10.1109/ATS.2007.24}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FanLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FangZDX07, author = {Liquan Fang and Yang Zhong and H. van de Donk and Yizi Xing}, title = {Implementation of Defect Oriented Testing and {ICCQ} testing for industrial mixed-signal {IC}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {404--412}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.93}, doi = {10.1109/ATS.2007.93}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FangZDX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GiriCC07, author = {Chandan Giri and Pradeep Kumar Choudhary and Santanu Chattopadhyay}, title = {Scan Power Reduction Through Scan Architecture Modification And Test Vector Reordering}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {419--424}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.23}, doi = {10.1109/ATS.2007.23}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GiriCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuoHC07, author = {Ruifeng Guo and Yu Huang and Wu{-}Tung Cheng}, title = {Fault Dictionary Based Scan Chain Failure Diagnosis}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {45--52}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.43}, doi = {10.1109/ATS.2007.43}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuoHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HashizumeHNYM07, author = {Masaki Hashizume and Yutaka Hata and Tomomi Nishida and Hiroyuki Yotsuyanagi and Yukiya Miura}, title = {Current Testable Design of Resistor String DACs}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {399--403}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.94}, doi = {10.1109/ATS.2007.94}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HashizumeHNYM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HigamiSTKT07, author = {Yoshinobu Higami and Kewal K. Saluja and Hiroshi Takahashi and Shin{-}ya Kobayashi and Yuzo Takamatsu}, title = {Test Generation for Transistor Shorts using Stuck-at Fault Simulator and Test Generator}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {271--274}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.64}, doi = {10.1109/ATS.2007.64}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HigamiSTKT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HongC07, author = {Dongwoo Hong and Kwang{-}Ting Cheng}, title = {An Accurate Jitter Estimation Technique for Efficient High Speed {I/O} Testing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {224--229}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.77}, doi = {10.1109/ATS.2007.77}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HongC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HosokawaIF07, author = {Toshinori Hosokawa and Ryoichi Inoue and Hideo Fujiwara}, title = {Fault-dependent/independent Test Generation Methods for State Observable FSMs}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {275--280}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.59}, doi = {10.1109/ATS.2007.59}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HosokawaIF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehHLH07, author = {Hsieh{-}Hung Hsieh and Yen{-}Chih Huang and Liang{-}Hung Lu and Guo{-}Wei Huang}, title = {A {BIST} Technique for {RF} Voltage-Controlled Oscillators}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {143--148}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.31}, doi = {10.1109/ATS.2007.31}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehHLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehLY07, author = {Tong{-}Yu Hsieh and Kuen{-}Jong Lee and Jian{-}Jhih You}, title = {Test Efficiency Analysis and Improvement of {SOC} Test Platforms}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {463--466}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.67}, doi = {10.1109/ATS.2007.67}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsuTH07, author = {Hsuan{-}Jung Hsu and Chun{-}Chieh Tu and Shi{-}Yu Huang}, title = {Built-In Speed Grading with a Process-Tolerant {ADPLL}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {384--392}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.38}, doi = {10.1109/ATS.2007.38}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsuTH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangG07, author = {I{-}De Huang and Sandeep K. Gupta}, title = {On Generating Vectors That Invoke High Circuit Delays - Delay Testing and Dynamic Timing Analysis}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {485--492}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.119}, doi = {10.1109/ATS.2007.119}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuangG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangL07, author = {Yu Huang and Xijiang Lin}, title = {Programmable Logic {BIST} for At-speed Test}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {295--300}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.83}, doi = {10.1109/ATS.2007.83}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangMCA07, author = {Yu Huang and Nilanjan Mukherjee and Wu{-}Tung Cheng and Greg Aldrich}, title = {A {RTL} Testability Analyzer Based on Logical Virtual Prototyping}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {121--124}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.82}, doi = {10.1109/ATS.2007.82}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangMCA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HussinYF07, author = {Fawnizu Azmadi Hussin and Tomokazu Yoneda and Hideo Fujiwara}, title = {Area Overhead and Test Time Co-Optimization through NoC Bandwidth Sharing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {459--462}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.22}, doi = {10.1109/ATS.2007.22}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HussinYF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IchiharaSNI07, author = {Hideyuki Ichihara and Yukinori Setohara and Yusuke Nakashima and Tomoo Inoue}, title = {Test Compression / Decompression Based on {JPEG} {VLC} Algorithm}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {87--90}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.60}, doi = {10.1109/ATS.2007.60}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IchiharaSNI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IngelssonRKAH07, author = {Urban Ingelsson and Paul M. Rosinger and S. Saqib Khursheed and Bashir M. Al{-}Hashimi and Peter Harrod}, title = {Resistive Bridging Faults {DFT} with Adaptive Power Management Awareness}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {101--106}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.69}, doi = {10.1109/ATS.2007.69}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/IngelssonRKAH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IrajpourGB07, author = {Shahdad Irajpour and Sandeep K. Gupta and Melvin A. Breuer}, title = {Improving Timing-Independent Testing of Crosstalk Using Realistic Assumptions on Delay Faults}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {57--64}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.86}, doi = {10.1109/ATS.2007.86}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/IrajpourGB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JasNP07, author = {Abhijit Jas and Suriyaprakash Natarajan and Srinivas Patil}, title = {The Region-Exhaustive Fault Model}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {13--18}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.78}, doi = {10.1109/ATS.2007.78}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JasNP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KangSM07, author = {Jian Kang and Sharad C. Seth and Shashank K. Mehta}, title = {Symbolic Path Sensitization Analysis and Applications}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {439--444}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.21}, doi = {10.1109/ATS.2007.21}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KangSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KawamuraO07, author = {Wataru Kawamura and Takeshi Onodera}, title = {Experimental Results of Transition Fault Simulation with {DC} Scan Tests}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {212}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.50}, doi = {10.1109/ATS.2007.50}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KawamuraO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KellerJU07, author = {Brion L. Keller and Tom Jackson and Anis Uzzaman}, title = {A Review of Power Strategies for {DFT} and {ATPG}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {213}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.88}, doi = {10.1109/ATS.2007.88}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KellerJU07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KellerULS07, author = {Brion L. Keller and Anis Uzzaman and Bibo Li and Thomas J. Snethen}, title = {Using Programmable On-Product Clock Generation {(OPCG)} for Delay Test}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {69--72}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.76}, doi = {10.1109/ATS.2007.76}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KellerULS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KohiyamaRSWZ07, author = {Yasuharu Kohiyama and C. P. Ravikumar and Yasuo Sato and Laung{-}Terng Wang and Yervant Zorian}, title = {Next Generation Test, Diagnostics and Yield Challenges for EDA, ATE, {IP} and Fab - {A} Perspective from All Sides}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {207}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.111}, doi = {10.1109/ATS.2007.111}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KohiyamaRSWZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LaiCR07, author = {Liyang Lai and Wu{-}Tung Cheng and Thomas Rinderknecht}, title = {Programmable Scan-Based Logic Built-In Self Test}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {371--377}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.45}, doi = {10.1109/ATS.2007.45}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LaiCR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LaiW07, author = {Nan{-}Cheng Lai and Sying{-}Jyan Wang}, title = {Low-Capture-Power Test Generation by Specifying {A} Minimum Set of Controlling Inputs}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {413--418}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.32}, doi = {10.1109/ATS.2007.32}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LaiW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeiHL07, author = {Shaohua Lei and Yinhe Han and Xiaowei Li}, title = {Frequency Analysis Method for Propagation of Transient Errors in Combinational Logic}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {323--328}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.35}, doi = {10.1109/ATS.2007.35}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LeiHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Li07, author = {Jin{-}Fu Li}, title = {Testing Comparison Faults of Ternary Content Addressable Memories with Asymmetric Cells}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {501--506}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.68}, doi = {10.1109/ATS.2007.68}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/Li07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinKR07, author = {Xijiang Lin and Mark Kassab and Janusz Rajski}, title = {Test Generation for Timing-Critical Transition Faults}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {493--500}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.120}, doi = {10.1109/ATS.2007.120}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinKR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiuWH07, author = {Chunsheng Liu and Yang Wu and Yu Huang}, title = {Effect of IR-Drop on Path Delay Testing Using Statistical Analysis}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {245--250}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.89}, doi = {10.1109/ATS.2007.89}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiuWH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LizarragaMS07, author = {Livier Lizarraga and Salvador Mir and Gilles Sicard}, title = {Evaluation of a {BIST} Technique for {CMOS} Imagers}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {378--383}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.62}, doi = {10.1109/ATS.2007.62}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LizarragaMS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LuL07, author = {Sean Lu and Dee{-}Won Lee}, title = {Integrated Test Solution for embedded {UHF/RF} {SOC}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {475}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.117}, doi = {10.1109/ATS.2007.117}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LuL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Maeda07, author = {Akinori Maeda}, title = {How the noise floor affects the production yield}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {474}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.116}, doi = {10.1109/ATS.2007.116}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Maeda07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Mak07, author = {T. M. Mak}, title = {Invited Talk 1: Testing of Power Constraint Computing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {6}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.107}, doi = {10.1109/ATS.2007.107}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Mak07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MoghaddamH07, author = {Elham K. Moghaddam and Shaahin Hessabi}, title = {An On-Line {BIST} Technique for Delay Fault Detection in {CMOS} Circuits}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {73--78}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.100}, doi = {10.1109/ATS.2007.100}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MoghaddamH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MolaviM07, author = {Shawn Molavi and Toby McPheeters}, title = {Concurrent Test Implementations}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {214}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.101}, doi = {10.1109/ATS.2007.101}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MolaviM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/MuradaliR07, author = {Fidel Muradali and Jochen Rivoir}, title = {Special Session: Analog Production Test}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {523}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.56}, doi = {10.1109/ATS.2007.56}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/MuradaliR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NabiSMN07, author = {Majid Nabi and Hamid Shojaei and Siamak Mohammadi and Zainalabedin Navabi}, title = {Optimized Assignment Coverage Computation in Formal Verification of Digital Systems}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {172--177}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.40}, doi = {10.1109/ATS.2007.40}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NabiSMN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PngLNO07, author = {Yu Wei P'ng and Moo Kit Lee and Peng Weng Ng and Chin Hu Ong}, title = {{IDDQ} Test Challenges in Nanotechnologies: {A} Manufacturing Test Strategy}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {211}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.36}, doi = {10.1109/ATS.2007.36}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PngLNO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PomeranzPP07, author = {Irith Pomeranz and Praveen Parvathala and Srinivas Patil}, title = {Estimating the Fault Coverage of Functional Test Sequences Without Fault Simulation}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {25--32}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.18}, doi = {10.1109/ATS.2007.18}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PomeranzPP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PomeranzR07, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {Diagnostic Test Generation Targeting Equivalence Classes}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {301--306}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.15}, doi = {10.1109/ATS.2007.15}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PomeranzR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/PomeranzR07a, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {Enhanced Broadside Testing for Improved Transition Fault Coverage}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {479--484}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.85}, doi = {10.1109/ATS.2007.85}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/PomeranzR07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/QianJ07, author = {Feng{-}An Qian and Jian{-}Hui Jiang}, title = {An Improved Test Case Generation Method of Pair-Wise Testing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {149--154}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.65}, doi = {10.1109/ATS.2007.65}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/QianJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RahamanKDB07, author = {Hafizur Rahaman and Dipak Kumar Kole and Debesh K. Das and Bhargab B. Bhattacharya}, title = {Optimum Test Set for Bridging Fault Detection in Reversible Circuits}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {125--128}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.91}, doi = {10.1109/ATS.2007.91}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RahamanKDB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Rivoir07, author = {Jochen Rivoir}, title = {Fast and Low Cost {HW} Bit Map for Memory Test Based on Residue Polynomial System over {GF(2)}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {361--366}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.48}, doi = {10.1109/ATS.2007.48}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Rivoir07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/RoussetBGLPV07, author = {Alexandre Rousset and Alberto Bosio and Patrick Girard and Christian Landrault and Serge Pravossoudovitch and Arnaud Virazel}, title = {Fast Bridging Fault Diagnosis using Logic Information}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {33--38}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.75}, doi = {10.1109/ATS.2007.75}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/RoussetBGLPV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SarmaM07, author = {Monalisa Sarma and Rajib Mall}, title = {System Testing using {UML} Models}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {155--158}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.102}, doi = {10.1109/ATS.2007.102}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SarmaM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SedghiAKN07, author = {Mahshid Sedghi and Armin Alaghi and Elnaz Koopahi and Zainalabedin Navabi}, title = {An HDL-Based Platform for High Level NoC Switch Testing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {453--458}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.97}, doi = {10.1109/ATS.2007.97}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SedghiAKN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShenZ07, author = {Haihua Shen and Heng Zhang}, title = {An Accurate Analysis of Microprocessor Design Verification}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {165--171}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.95}, doi = {10.1109/ATS.2007.95}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShenZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SongHKYP07, author = {Jaehoon Song and Juhee Han and Dooyoung Kim and Hyunbean Yi and Sungju Park}, title = {Design Reuse of on/off-Chip Bus Bridge for Efficient Test Access to AMBA-based SoC}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {193--198}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.13}, doi = {10.1109/ATS.2007.13}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SongHKYP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SpinnerJPEB07, author = {Stefan Spinner and Jie Jiang and Ilia Polian and Piet Engelke and Bernd Becker}, title = {Simulating Open-Via Defects}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {265--270}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.72}, doi = {10.1109/ATS.2007.72}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/SpinnerJPEB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/SrinivasanCN07, author = {Ganesh Srinivasan and Abhijit Chatterjee and Vishwanath Natarajan}, title = {Fourier Spectrum-Based Signature Test: {A} Genetic {CAD} Toolbox for Reliable {RF} Testing Using Low-Performance Test Resources}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {139--142}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.98}, doi = {10.1109/ATS.2007.98}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/SrinivasanCN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Taenzler07, author = {Friedrich Taenzler}, title = {Production Test of High Volume Commercial {RFIC}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {476}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.118}, doi = {10.1109/ATS.2007.118}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Taenzler07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TakahashiHKATYT07, author = {Hiroshi Takahashi and Yoshinobu Higami and Shuhei Kadoyama and Takashi Aikyo and Yuzo Takamatsu and Koji Yamazaki and Toshiyuki Tsutsumi and Hiroyuki Yotsuyanagi and Masaki Hashizume}, title = {Clues for Modeling and Diagnosing Open Faults with Considering Adjacent Lines}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {39--44}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.34}, doi = {10.1109/ATS.2007.34}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TakahashiHKATYT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Taneja07, author = {Sanjiv Taneja}, title = {Keynote Speech 2: Consumerization of Electronics and Nanometer Technologies: Implications on Test}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {4--5}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.106}, doi = {10.1109/ATS.2007.106}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Taneja07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/TangLCRZ07, author = {Huaxing Tang and Chen Liu and Wu{-}Tung Cheng and Sudahkar M. Reddy and Wei Zou}, title = {Improving Performance of Effect-Cause Diagnosis with Minimal Memory Overhead}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {281--287}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.47}, doi = {10.1109/ATS.2007.47}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/TangLCRZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/UzzamanMAAJGO07, author = {Anis Uzzaman and Fidel Muradali and Takashi Aikyo and Robert C. Aitken and Tom Jackson and Rajesh Galivanche and Takeshi Onodera}, title = {Test Roles in Diagnosis and Silicon Debug}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {367}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.112}, doi = {10.1109/ATS.2007.112}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/UzzamanMAAJGO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangLL07, author = {Sying{-}Jyan Wang and Xin{-}Long Li and Katherine Shu{-}Min Li}, title = {Layout-Aware Multi-Layer Multi-Level Scan Tree Synthesis}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {129--134}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.37}, doi = {10.1109/ATS.2007.37}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangTWL07, author = {Sying{-}Jyan Wang and Po{-}Chang Tsai and Hung{-}Ming Weng and Katherine Shu{-}Min Li}, title = {Test Data and Test Time Reduction for {LOS} Transition Test in Multi-Mode Segmented Scan Architecture}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {95--100}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.33}, doi = {10.1109/ATS.2007.33}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangTWL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangWC07, author = {Seongmoon Wang and Wenlong Wei and Srimat T. Chakradhar}, title = {A High Compression and Short Test Sequence Test Compression Technique to Enhance Compressions of {LFSR} Reseeding}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {79--86}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.52}, doi = {10.1109/ATS.2007.52}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Williams07, author = {T. W. Williams}, title = {Invited Talk 2: {EDA} to the Rescue of the Silicon Roadmap}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {7--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.108}, doi = {10.1109/ATS.2007.108}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Williams07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuH07, author = {Weixin Wu and Michael S. Hsiao}, title = {Mining Sequential Constraints for Pseudo-Functional Testing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {19--24}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.66}, doi = {10.1109/ATS.2007.66}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuHH07, author = {Meng{-}Fan Wu and Kai{-}Shun Hu and Jiun{-}Lang Huang}, title = {An Efficient Peak Power Reduction Technique for Scan Testing}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {111--114}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.54}, doi = {10.1109/ATS.2007.54}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/WuHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WuLWW07, author = {Hsiang{-}Huang Wu and Jin{-}Fu Li and Chi{-}Feng Wu and Cheng{-}Wen Wu}, title = {{CAMEL:} An Efficient Fault Simulator with Coupling Fault Simulation Enhancement for CAMs}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {355--360}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.27}, doi = {10.1109/ATS.2007.27}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/WuLWW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XiangCHF07, author = {Dong Xiang and Krishnendu Chakrabarty and Dianwei Hu and Hideo Fujiwara}, title = {Scan Testing for Complete Coverage of Path Delay Faults with Reduced Test Data Volume, Test Application Time, and Hardware Cost}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {329--334}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.20}, doi = {10.1109/ATS.2007.20}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XiangCHF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Xu07, author = {Shiyi Xu}, title = {Reconsideration of Software Reliability Measurements}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {159--164}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.39}, doi = {10.1109/ATS.2007.39}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Xu07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/XuS07, author = {Gefu Xu and Adit D. Singh}, title = {Flip-flop Selection to Maximize {TDF} Coverage with Partial Enhanced Scan}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {335--340}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.96}, doi = {10.1109/ATS.2007.96}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/XuS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Yamaguchi07, author = {Takahiro J. Yamaguchi}, title = {Top 5 Issues in Practical Testing of High-Speed Interface Devices}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {519}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.122}, doi = {10.1109/ATS.2007.122}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Yamaguchi07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangBDZ07, author = {Xiaoqing Yang and Jinian Bian and Shujun Deng and Yanni Zhao}, title = {{EHSAT} Modeling from Algorithm Description for {RTL} Model Checking}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {178--186}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.92}, doi = {10.1109/ATS.2007.92}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangBDZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YangCHKK07, author = {Wu Yang and Wu{-}Tung Cheng and Yu Huang and Martin Keim and Randy Klingenberg}, title = {Scan Diagnosis and Its Successful Industrial Applications}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {215}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.99}, doi = {10.1109/ATS.2007.99}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YangCHKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YonedaFF07, author = {Tomokazu Yoneda and Yuusuke Fukuda and Hideo Fujiwara}, title = {Test Scheduling for Memory Cores with Built-In Self-Repair}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {199--206}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.26}, doi = {10.1109/ATS.2007.26}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YonedaFF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YoshikawaOF07, author = {Yuki Yoshikawa and Satoshi Ohtake and Hideo Fujiwara}, title = {False Path Identification using {RTL} Information and Its Application to Over-testing Reduction for Delay Faults}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {65--68}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.70}, doi = {10.1109/ATS.2007.70}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YoshikawaOF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YuYCF07, author = {Thomas Edison Yu and Tomokazu Yoneda and Krishnendu Chakrabarty and Hideo Fujiwara}, title = {Thermal-Safe Test Access Mechanism and Wrapper Co-optimization for System-on-Chip}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {187--192}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.53}, doi = {10.1109/ATS.2007.53}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YuYCF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Yue07, author = {Deng Yue}, title = {Understanding {GSM/EDGE} Modulated Signal Test on Cellular {BB} {SOC}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {518}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.90}, doi = {10.1109/ATS.2007.90}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Yue07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangAC07, author = {Xinsong Zhang and Simon S. Ang and Chandra Carter}, title = {Test Point Selections for a Programmable Gain Amplifier Using {NIST} and Wavelet Transform Methods}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {230--238}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.28}, doi = {10.1109/ATS.2007.28}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangAC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangH07, author = {Shijian Zhang and Weiwu Hu}, title = {{CREA:} {A} Checkpoint Based Reliable Micro-architecture for Superscalar Processors}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {313--318}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.19}, doi = {10.1109/ATS.2007.19}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangL07, author = {Minjin Zhang and Xiaowei Li}, title = {Test Generation for Crosstalk Glitches Considering Multiple Coupling Effects}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {259--264}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.30}, doi = {10.1109/ATS.2007.30}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhangLZJ07, author = {Lei Zhang and Huaguo Liang and Wenfa Zhan and Cuiyun Jiang}, title = {Block Marking and Updating Coding in Test Data Compression for SoC}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {467--472}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.57}, doi = {10.1109/ATS.2007.57}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhangLZJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhaoHF07, author = {Dan Zhao and Ronghua Huang and Hideo Fujiwara}, title = {Multi-Frequency Modular Testing of SoCs by Dynamically Reconfiguring Multi-Port {ATE}}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {107--110}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.79}, doi = {10.1109/ATS.2007.79}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhaoHF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhengCYMH07, author = {Feijun Zheng and Kwang{-}Ting Cheng and Xiaolang Yan and John Moondanos and Ziyad Hanna}, title = {An Efficient Diagnostic Test Pattern Generation Framework Using Boolean Satisfiability}, booktitle = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, pages = {288--294}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS.2007.80}, doi = {10.1109/ATS.2007.80}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhengCYMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2007, title = {16th Asian Test Symposium, {ATS} 2007, Beijing, China, October 8-11, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ATS12312.2007}, doi = {10.1109/ATS12312.2007}, isbn = {0-7695-2890-2}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AlaghiYN06, author = {Armin Alaghi and Mahnaz Sadoughi Yarandi and Zainalabedin Navabi}, title = {An Optimum {ORA} {BIST} for Multiple Fault {FPGA} Look-Up Table Testing}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {293--298}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261034}, doi = {10.1109/ATS.2006.261034}, timestamp = {Mon, 07 Nov 2022 17:39:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AlaghiYN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AldrichPKS06, author = {Greg Aldrich and Ron Press and Takeo Kobayashi and Tatsuo Sakajiri}, title = {Mentor Graphics {DFT} to Navigate Nanometer Test Challenges}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {130}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261008}, doi = {10.1109/ATS.2006.261008}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AldrichPKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AraiFI06, author = {Masayuki Arai and Satoshi Fukumoto and Kazuhiko Iwasaki}, title = {Expansion of Convolutional Compactors over Galois Field}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {401--408}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260962}, doi = {10.1109/ATS.2006.260962}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AraiFI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BadereddineWGCP06, author = {Nabil Badereddine and Zhanglei Wang and Patrick Girard and Krishnendu Chakrabarty and Serge Pravossoudovitch and Christian Landrault}, title = {Power-Aware Test Data Compression for Embedded {IP} Cores}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {5--10}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260985}, doi = {10.1109/ATS.2006.260985}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BadereddineWGCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BensoBCNP06, author = {Alfredo Benso and Alberto Bosio and Stefano Di Carlo and Giorgio Di Natale and Paolo Prinetto}, title = {Memory Fault Simulator for Static-Linked Faults}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {31--36}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260989}, doi = {10.1109/ATS.2006.260989}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/BensoBCNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BensoBCNP06a, author = {Alfredo Benso and Alberto Bosio and Stefano Di Carlo and Giorgio Di Natale and Paolo Prinetto}, title = {{ATPG} for Dynamic Burn-In Test in Full-Scan Circuits}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {75--82}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260996}, doi = {10.1109/ATS.2006.260996}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/BensoBCNP06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BushardCFK06, author = {Louis Bushard and Nathan Chelstrom and Steven Ross Ferguson and Brion Keller}, title = {{DFT} of the Cell Processor and its Impact on {EDA} Test Softwar}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {369--374}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260957}, doi = {10.1109/ATS.2006.260957}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BushardCFK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChouHS06, author = {Maohsuan Chou and Jen{-}Chien Hsu and Chauchin Su}, title = {A Digital {BIST} Methodology for Spread Spectrum Clock Generators}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {251--254}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261028}, doi = {10.1109/ATS.2006.261028}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChouHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DenqWW06, author = {Li{-}Ming Denq and Tzu{-}chiang Wang and Cheng{-}Wen Wu}, title = {An Enhanced {SRAM} {BISR} Design with Reduced Timing Penalty}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {25--30}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260988}, doi = {10.1109/ATS.2006.260988}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/DenqWW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/DuMHCR06, author = {Xiaogang Du and Nilanjan Mukherjee and Chris Hill and Wu{-}Tung Cheng and Sudhakar M. Reddy}, title = {A Field Programmable Memory {BIST} Architecture Supporting Algorithms with Multiple Nested Loops}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {287--292}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261033}, doi = {10.1109/ATS.2006.261033}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/DuMHCR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/EngelkePMRB06, author = {Piet Engelke and Ilia Polian and Hans Manhaeve and Michel Renovell and Bernd Becker}, title = {Delta-IDDQ Testing of Resistive Short Defects}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {63--68}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260994}, doi = {10.1109/ATS.2006.260994}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/EngelkePMRB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FoutzCLLK06, author = {Brian Foutz and Vivek Chickermane and Bing Li and Harry Linzer and Gary Kunselman}, title = {Automation of {IEEE} 1149.6 Boundary Scan Synthesis in an {ASIC} Methodology}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {381--388}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260959}, doi = {10.1109/ATS.2006.260959}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FoutzCLLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FujiwaraSCZXFSC06, author = {Hideo Fujiwara and Jiaguang Sun and Krishnendu Chakrabarty and Yang Zhao and Dong Xiang}, title = {Compressing Test Data for Deterministic {BIST} Using a Reconfigurable Scan Arhcitecture}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {299--306}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261035}, doi = {10.1109/ATS.2006.261035}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/FujiwaraSCZXFSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoswamiTKKRSWSA06, author = {Dhiraj Goswami and Kun{-}Han Tsai and Mark Kassab and Takeo Kobayashi and Janusz Rajski and Bruce Swanson and Darryl Walters and Yasuo Sato and Toshiharu Asaka and Takashi Aikyo}, title = {At-Speed Testing with Timing Exceptions and Constraints-Case Studies}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {153--162}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261014}, doi = {10.1109/ATS.2006.261014}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoswamiTKKRSWSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GoyalCS06, author = {Shalabh Goyal and Abhijit Chatterjee and Yanan Shieh}, title = {Enhanced {A/D} Converter Signal-to-Noise-Ratio Testing in the Presence of Sampling Clock Jitter}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {307--312}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261036}, doi = {10.1109/ATS.2006.261036}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GoyalCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HayashiISTK06, author = {Terumine Hayashi and Naotsugu Ikeda and Tsuyoshi Shinogi and Haruhiko Takase and Hidehiko Kita}, title = {Low Power Oriented Test Modification and Compression Techniques for Scan Based Core Testing}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {327--332}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260951}, doi = {10.1109/ATS.2006.260951}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HayashiISTK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HigamiSTKT06, author = {Yoshinobu Higami and Kewal K. Saluja and Hiroshi Takahashi and Sin{-}ya Kobayashi and Yuzo Takamatsu}, title = {Diagnosis of Transistor Shorts in Logic Test Environment}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {354--359}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260955}, doi = {10.1109/ATS.2006.260955}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HigamiSTKT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HongL06, author = {Hao{-}Chiao Hong and Sheng{-}Chuan Liang}, title = {A Cost Effective Output Response Analyzer for {\textbackslash}sum - {\textbackslash}delta Modulation Based {BIST} Systems}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {255--264}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261029}, doi = {10.1109/ATS.2006.261029}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HongL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuLLHLWLWW06, author = {Yu Hu and Cheng Li and Jia Li and Yinhe Han and Xiaowei Li and Wei Wang and Hua{-}Wei Li and Laung{-}Terng Wang and Xiaoqing Wen}, title = {Test data compression based on clustered random access scan}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {231--236}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261025}, doi = {10.1109/ATS.2006.261025}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/HuLLHLWLWW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Huang06, author = {Jiun{-}Lang Huang}, title = {A Random Jitter Extraction Technique in the Presence of Sinusoidal Jitter}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {318--326}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260950}, doi = {10.1109/ATS.2006.260950}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Huang06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/IrajpourGB06, author = {Shahdad Irajpour and Sandeep K. Gupta and Melvin A. Breuer}, title = {Test Generation for Weak Resistive Bridges}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {265--272}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261030}, doi = {10.1109/ATS.2006.261030}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/IrajpourGB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KarimiMN06, author = {Naghmeh Karimi and Shahrzad Mirkhani and Zainalabedin Navabi}, title = {{ESTA:} An Efficient Method for Reliability Enhancement of RT-Level Designs}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {195--202}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261020}, doi = {10.1109/ATS.2006.261020}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KarimiMN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimSKKK06, author = {Youbean Kim and Dongsup Song and Kicheol Kim and Incheol Kim and Sungho Kang}, title = {{TOSCA:} Total Scan Power Reduction Architecture based on Pseudo-Random Built-in Self Test Structure}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {17--24}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260987}, doi = {10.1109/ATS.2006.260987}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimSKKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KimYPLK06, author = {YongJoon Kim and Myung{-}Hoon Yang and Youngkyu Park and Daeyeal Lee and Sungho Kang}, title = {An Effective Test Pattern Generation for Testing Signal Integrity}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {279--286}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261032}, doi = {10.1109/ATS.2006.261032}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KimYPLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KonishiEH06, author = {Hideaki Konishi and Michiaki Emori and Takahisa Hiraide}, title = {The Application of BIST-Aided Scan Test for Real Chips}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {131}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261009}, doi = {10.1109/ATS.2006.261009}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KonishiEH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeeT06, author = {Jinkyu Lee and Nur A. Touba}, title = {Efficiently Utilizing {ATE} Vector Repeat for Compression by Scan Vector Decomposition}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {237--244}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261026}, doi = {10.1109/ATS.2006.261026}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeeT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LeiningerKFTCKY06, author = {Andreas Leininger and Ajay Khoche and Martin Fischer and Nagesh Tamarapalli and Wu{-}Tung Cheng and Randy Klingenberg and Wu Yang}, title = {The Next Step in Volume Scan Diagnosis: Standard Fail Data Format}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {360--368}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260956}, doi = {10.1109/ATS.2006.260956}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LeiningerKFTCKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiCC06, author = {Chung{-}Yi Li and Chia{-}yuan Chou and Tsin{-}Yuan Chang}, title = {A Self-Referred Clock Jitter Measurement Circuit in Wide Frequency Range}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {313--317}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261037}, doi = {10.1109/ATS.2006.261037}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiHL06, author = {Jia Li and Yu Hu and Xiaowei Li}, title = {A Scan Chain Adjustment Technology for Test Power Reduction}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {11--16}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260986}, doi = {10.1109/ATS.2006.260986}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiW06, author = {Jin{-}Fu Li and Chun{-}Hsien Wu}, title = {Verification Methodology for Self-Repairable Memory Systems}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {109--114}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261001}, doi = {10.1109/ATS.2006.261001}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LiW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiXCRM06, author = {Ming Li and Shiyi Xu and Jialin Cao and Feng Ran and Shiwei Ma}, title = {A Design of Pipelined Carry-dependent Sum Adder With its Self-checking Structure}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {189--194}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261019}, doi = {10.1109/ATS.2006.261019}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiXCRM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinTWKRKKSHA06, author = {Xijiang Lin and Kun{-}Han Tsai and Chen Wang and Mark Kassab and Janusz Rajski and Takeo Kobayashi and Randy Klingenberg and Yasuo Sato and Shuji Hamada and Takashi Aikyo}, title = {Timing-Aware {ATPG} for High Quality At-speed Testing of Small Delay Defects}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {139--146}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.261012}, doi = {10.1109/ATS.2006.261012}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinTWKRKKSHA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/Liu06, author = {Chunsheng Liu}, title = {Testing Hierarchical Network-on-Chip Systems with Hard Cores Using Bandwidth Matching and On-Chip Variable Clocking}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {431--436}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260966}, doi = {10.1109/ATS.2006.260966}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/Liu06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LvLZLL06, author = {Tao Lv and Ling{-}Yi Liu and Yang Zhao and Huawei Li and Xiaowei Li}, title = {An Observability Branch Coverage Metric Based on Dynamic Factored Use-Define Chains}, booktitle = {15th Asian Test Symposium, {ATS} 2006, Fukuoka, Japan, November 20-23, 2006}, pages = {89--94}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ATS.2006.260998}, doi = {10.1109/ATS.2006.260998}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/LvLZLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.uni-trier.de./img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.