"Simple SIMON: FPGA implementations of the SIMON 64/128 Block Cipher."

Jos Wetzels, Wouter Bokslag (2015)

Details and statistics

DOI:

access: open

type: Informal or Other Publication

metadata version: 2018-08-13

a service of  Schloss Dagstuhl - Leibniz Center for Informatics