"A Hardware Accelerator for Computing an Exact Dot Product."

Jack Koenig et al. (2017)

Details and statistics

DOI: 10.1109/ARITH.2017.38

access: closed

type: Conference or Workshop Paper

metadata version: 2023-03-23